• Apple กำลังเตรียมเปิดตัว iPhone 18 ในปี 2026 พร้อมชิปเซ็ตใหม่ล่าสุด A20 และ A20 Pro ซึ่งจะเป็นชิปขนาด 2nm รุ่นแรกของบริษัท โดยมีการเปิดเผยโค้ดเนมของแต่ละรุ่นดังนี้:

    รายละเอียดชิป A20 และ A20 Pro
    A20 (โค้ดเนม: Borneo): ใช้ใน iPhone 18 รุ่นพื้นฐาน
    A20 Pro (โค้ดเนม: Borneo Ultra): ใช้ใน iPhone 18 Pro, iPhone 18 Pro Max และ iPhone รุ่นพับได้

    แม้จะมีเพียงสองชื่อชิป แต่คาดว่าจะมี สามเวอร์ชัน เหมือนกับ A19 ที่มีรุ่น “Air” ซึ่งใช้ชิป A19 Pro แบบลดสเปก และรุ่น Pro/Pro Max ที่ใช้ชิปแบบเต็มประสิทธิภาพ

    สเปกที่คาดการณ์
    CPU แบบ 6-core: 2 คอร์ประสิทธิภาพ + 4 คอร์ประหยัดพลังงาน
    ผลิตด้วยเทคโนโลยี TSMC 2nm N2 process
    ชิป A20 และ A20 Pro จะถูกใช้ทั้งใน iPhone และ MacBook Pro รุ่นใหม่ที่มีหน้าจอ OLED แบบสัมผัส

    ความเคลื่อนไหวล่าสุด
    Apple ได้สั่งซื้อ DRAM ขนาด 10nm LPDDR5X จำนวน 13 ล้านชิ้นจาก Samsung เพื่อเตรียมการผลิต iPhone 18 ล่วงหน้า

    https://wccftech.com/apple-a20-pro-codenames-revealed-which-iphone-18-will-feature-which-soc/
    Apple กำลังเตรียมเปิดตัว iPhone 18 ในปี 2026 พร้อมชิปเซ็ตใหม่ล่าสุด A20 และ A20 Pro ซึ่งจะเป็นชิปขนาด 2nm รุ่นแรกของบริษัท โดยมีการเปิดเผยโค้ดเนมของแต่ละรุ่นดังนี้: 🔍 รายละเอียดชิป A20 และ A20 Pro 🔊 A20 (โค้ดเนม: Borneo): ใช้ใน iPhone 18 รุ่นพื้นฐาน 🔊 A20 Pro (โค้ดเนม: Borneo Ultra): ใช้ใน iPhone 18 Pro, iPhone 18 Pro Max และ iPhone รุ่นพับได้ แม้จะมีเพียงสองชื่อชิป แต่คาดว่าจะมี สามเวอร์ชัน เหมือนกับ A19 ที่มีรุ่น “Air” ซึ่งใช้ชิป A19 Pro แบบลดสเปก และรุ่น Pro/Pro Max ที่ใช้ชิปแบบเต็มประสิทธิภาพ ⚙️ สเปกที่คาดการณ์ 📐 CPU แบบ 6-core: 2 คอร์ประสิทธิภาพ + 4 คอร์ประหยัดพลังงาน 📐 ผลิตด้วยเทคโนโลยี TSMC 2nm N2 process 📐 ชิป A20 และ A20 Pro จะถูกใช้ทั้งใน iPhone และ MacBook Pro รุ่นใหม่ที่มีหน้าจอ OLED แบบสัมผัส 📦 ความเคลื่อนไหวล่าสุด ⚡ Apple ได้สั่งซื้อ DRAM ขนาด 10nm LPDDR5X จำนวน 13 ล้านชิ้นจาก Samsung เพื่อเตรียมการผลิต iPhone 18 ล่วงหน้า https://wccftech.com/apple-a20-pro-codenames-revealed-which-iphone-18-will-feature-which-soc/
    WCCFTECH.COM
    Apple’s A20, A20 Pro Chipset Codenames Revealed - Rumor Provides Details On Which SoC Will Power The iPhone 18 Next Year
    A rumor not only sheds light on the A20 and A20 Pro codenames, but also which iPhone 18 model will be treated to which silicon
    0 ความคิดเห็น 0 การแบ่งปัน 52 มุมมอง 0 รีวิว
  • Google ยอมรับกลาย ๆ ว่า GPU ของ Tensor G5 ยังต้องปรับจูน – เตรียมเพิ่มประสิทธิภาพก่อนเปิดตัว Pixel รุ่นใหม่

    Google กำลังพัฒนา Tensor G5 ซึ่งเป็นชิปเซ็ตรุ่นใหม่ที่จะใช้ใน Pixel รุ่นถัดไป โดยมีรายงานว่า GPU ที่ใช้ใน Tensor G5 ยังไม่สามารถทำงานได้เต็มประสิทธิภาพ และต้องการการปรับแต่งเพิ่มเติมก่อนจะพร้อมใช้งานจริง

    แม้ Google จะยังไม่ประกาศอย่างเป็นทางการ แต่ข้อมูลจากเอกสารภายในและการเคลื่อนไหวของทีมพัฒนาเผยว่า GPU ที่ใช้ใน Tensor G5 ซึ่งเป็นสถาปัตยกรรมจากบริษัท Imagination Technologies ยังต้องการการปรับจูนเพื่อให้เหมาะกับการใช้งานบน Android และแอปต่าง ๆ ของ Google โดยเฉพาะด้านการประมวลผลกราฟิกและ AI

    Tensor G5 ถือเป็นชิปที่ Google พัฒนาขึ้นเองเต็มรูปแบบ โดยใช้โรงงาน TSMC ในการผลิตด้วยเทคโนโลยี 3nm ซึ่งต่างจาก Tensor รุ่นก่อนที่ร่วมพัฒนากับ Samsung การเปลี่ยนมาใช้ GPU จาก Imagination แทน ARM Mali ก็เป็นการเปลี่ยนแปลงครั้งใหญ่ที่ต้องใช้เวลาในการปรับแต่งให้เข้ากับ ecosystem ของ Google

    การพัฒนา Tensor G5 โดย Google
    เป็นชิปที่ Google พัฒนาขึ้นเองเต็มรูปแบบ
    ผลิตโดย TSMC ด้วยเทคโนโลยี 3nm
    ใช้ GPU จาก Imagination Technologies แทน ARM Mali

    ความท้าทายด้าน GPU
    GPU ยังไม่สามารถทำงานได้เต็มประสิทธิภาพ
    ต้องการการปรับจูนเพื่อรองรับ Android และแอปของ Google
    ทีมพัฒนากำลังเร่งแก้ไขก่อนเปิดตัว Pixel รุ่นใหม่

    การเปลี่ยนแปลงจาก Tensor รุ่นก่อน
    Tensor G5 ไม่ร่วมพัฒนากับ Samsung เหมือนรุ่นก่อน
    เปลี่ยนสถาปัตยกรรม GPU เป็นครั้งแรก
    มุ่งเน้นการควบคุมคุณภาพและประสิทธิภาพโดย Google เอง

    ข้อควรระวังและข้อจำกัด
    การเปลี่ยน GPU อาจทำให้เกิดปัญหาความเข้ากันได้กับแอปบางตัว
    หากปรับจูนไม่ทัน อาจส่งผลต่อประสิทธิภาพของ Pixel รุ่นใหม่
    การพัฒนา GPU ภายในต้องใช้ทรัพยากรและเวลามาก
    ความคาดหวังสูงจากผู้ใช้ Pixel อาจกดดันทีมพัฒนา

    https://wccftech.com/google-tacitly-admits-to-the-need-for-optimizing-the-tensor-g5s-gpu/
    📱 Google ยอมรับกลาย ๆ ว่า GPU ของ Tensor G5 ยังต้องปรับจูน – เตรียมเพิ่มประสิทธิภาพก่อนเปิดตัว Pixel รุ่นใหม่ Google กำลังพัฒนา Tensor G5 ซึ่งเป็นชิปเซ็ตรุ่นใหม่ที่จะใช้ใน Pixel รุ่นถัดไป โดยมีรายงานว่า GPU ที่ใช้ใน Tensor G5 ยังไม่สามารถทำงานได้เต็มประสิทธิภาพ และต้องการการปรับแต่งเพิ่มเติมก่อนจะพร้อมใช้งานจริง แม้ Google จะยังไม่ประกาศอย่างเป็นทางการ แต่ข้อมูลจากเอกสารภายในและการเคลื่อนไหวของทีมพัฒนาเผยว่า GPU ที่ใช้ใน Tensor G5 ซึ่งเป็นสถาปัตยกรรมจากบริษัท Imagination Technologies ยังต้องการการปรับจูนเพื่อให้เหมาะกับการใช้งานบน Android และแอปต่าง ๆ ของ Google โดยเฉพาะด้านการประมวลผลกราฟิกและ AI Tensor G5 ถือเป็นชิปที่ Google พัฒนาขึ้นเองเต็มรูปแบบ โดยใช้โรงงาน TSMC ในการผลิตด้วยเทคโนโลยี 3nm ซึ่งต่างจาก Tensor รุ่นก่อนที่ร่วมพัฒนากับ Samsung การเปลี่ยนมาใช้ GPU จาก Imagination แทน ARM Mali ก็เป็นการเปลี่ยนแปลงครั้งใหญ่ที่ต้องใช้เวลาในการปรับแต่งให้เข้ากับ ecosystem ของ Google ✅ การพัฒนา Tensor G5 โดย Google ➡️ เป็นชิปที่ Google พัฒนาขึ้นเองเต็มรูปแบบ ➡️ ผลิตโดย TSMC ด้วยเทคโนโลยี 3nm ➡️ ใช้ GPU จาก Imagination Technologies แทน ARM Mali ✅ ความท้าทายด้าน GPU ➡️ GPU ยังไม่สามารถทำงานได้เต็มประสิทธิภาพ ➡️ ต้องการการปรับจูนเพื่อรองรับ Android และแอปของ Google ➡️ ทีมพัฒนากำลังเร่งแก้ไขก่อนเปิดตัว Pixel รุ่นใหม่ ✅ การเปลี่ยนแปลงจาก Tensor รุ่นก่อน ➡️ Tensor G5 ไม่ร่วมพัฒนากับ Samsung เหมือนรุ่นก่อน ➡️ เปลี่ยนสถาปัตยกรรม GPU เป็นครั้งแรก ➡️ มุ่งเน้นการควบคุมคุณภาพและประสิทธิภาพโดย Google เอง ‼️ ข้อควรระวังและข้อจำกัด ⛔ การเปลี่ยน GPU อาจทำให้เกิดปัญหาความเข้ากันได้กับแอปบางตัว ⛔ หากปรับจูนไม่ทัน อาจส่งผลต่อประสิทธิภาพของ Pixel รุ่นใหม่ ⛔ การพัฒนา GPU ภายในต้องใช้ทรัพยากรและเวลามาก ⛔ ความคาดหวังสูงจากผู้ใช้ Pixel อาจกดดันทีมพัฒนา https://wccftech.com/google-tacitly-admits-to-the-need-for-optimizing-the-tensor-g5s-gpu/
    WCCFTECH.COM
    Google Tacitly Admits To The Need For Optimizing The Tensor G5's GPU
    While Google has worked with Imagination to develop the IMG DXT-48-1536 GPU for the Tensor G5, Imagination retains full proprietary control.
    0 ความคิดเห็น 0 การแบ่งปัน 90 มุมมอง 0 รีวิว
  • Tesla เปิดตัวชิป AI5 รุ่นใหม่ – เร็วกว่าเดิม 40 เท่า พร้อมผลิตโดย Samsung และ TSMC

    Elon Musk ประกาศว่า Tesla ได้พัฒนาชิป AI5 รุ่นใหม่สำหรับระบบปัญญาประดิษฐ์ในรถยนต์ โดยมีประสิทธิภาพสูงกว่ารุ่นก่อนถึง 40 เท่า! ชิปนี้จะถูกผลิตโดยสองยักษ์ใหญ่ในวงการเซมิคอนดักเตอร์คือ Samsung และ TSMC ซึ่งถือเป็นการยกระดับเทคโนโลยีของ Tesla ไปอีกขั้น

    ชิป AI5 รุ่นใหม่นี้จะถูกนำไปใช้ในระบบ Full Self-Driving (FSD) และ Dojo ซึ่งเป็นแพลตฟอร์มฝึกโมเดล AI ของ Tesla โดยเน้นการประมวลผลแบบ edge computing ที่สามารถทำงานได้โดยไม่ต้องพึ่งพา cloud ตลอดเวลา ชิปนี้ยังถูกออกแบบให้รองรับการประมวลผลแบบ real-time สำหรับการขับขี่อัตโนมัติ และการวิเคราะห์ภาพจากกล้องหลายตัวในรถ

    การร่วมมือกับ Samsung และ TSMC ไม่เพียงแต่ช่วยให้ Tesla สามารถผลิตชิปได้ในปริมาณมาก แต่ยังเป็นการกระจายความเสี่ยงด้าน supply chain ในช่วงที่อุตสาหกรรมเซมิคอนดักเตอร์ทั่วโลกยังเผชิญกับความไม่แน่นอน

    การเปิดตัวชิป AI5 รุ่นใหม่ของ Tesla
    มีประสิทธิภาพสูงกว่ารุ่นก่อนถึง 40 เท่า
    ใช้ในระบบ Full Self-Driving (FSD) และ Dojo
    รองรับการประมวลผลแบบ edge computing และ real-time
    ออกแบบมาเพื่อวิเคราะห์ภาพจากกล้องหลายตัวในรถ

    ความร่วมมือกับผู้ผลิตชิป
    ผลิตโดย Samsung และ TSMC
    ช่วยเพิ่มกำลังการผลิตและลดความเสี่ยงด้าน supply chain
    ใช้เทคโนโลยีการผลิตขั้นสูง เช่น 2nm และ 3nm

    ผลกระทบต่ออุตสาหกรรมรถยนต์
    ยกระดับความสามารถของรถยนต์ Tesla ในการขับขี่อัตโนมัติ
    เพิ่มความแม่นยำและความเร็วในการประมวลผลข้อมูลจากเซนเซอร์
    อาจเป็นจุดเปลี่ยนสำคัญในการแข่งขันด้าน AI ในรถยนต์

    ข้อควรระวังและความท้าทาย
    การผลิตชิปขั้นสูงต้องใช้เทคโนโลยีที่ซับซ้อนและต้นทุนสูง
    ความล่าช้าในการผลิตอาจกระทบต่อการเปิดตัวรถรุ่นใหม่
    การพึ่งพาผู้ผลิตภายนอกอาจมีความเสี่ยงด้านความมั่นคงของ supply chain
    หากระบบ FSD ยังไม่ผ่านการรับรองในหลายประเทศ อาจจำกัดการใช้งานจริง

    https://www.tomshardware.com/tech-industry/elon-musk-claims-teslas-new-ai5-chip-is-40x-more-performant-than-previous-gen-ai5-next-gen-custom-silicon-for-vehicle-ai-to-now-be-built-by-samsung-and-tsmc
    🚗 Tesla เปิดตัวชิป AI5 รุ่นใหม่ – เร็วกว่าเดิม 40 เท่า พร้อมผลิตโดย Samsung และ TSMC Elon Musk ประกาศว่า Tesla ได้พัฒนาชิป AI5 รุ่นใหม่สำหรับระบบปัญญาประดิษฐ์ในรถยนต์ โดยมีประสิทธิภาพสูงกว่ารุ่นก่อนถึง 40 เท่า! ชิปนี้จะถูกผลิตโดยสองยักษ์ใหญ่ในวงการเซมิคอนดักเตอร์คือ Samsung และ TSMC ซึ่งถือเป็นการยกระดับเทคโนโลยีของ Tesla ไปอีกขั้น ชิป AI5 รุ่นใหม่นี้จะถูกนำไปใช้ในระบบ Full Self-Driving (FSD) และ Dojo ซึ่งเป็นแพลตฟอร์มฝึกโมเดล AI ของ Tesla โดยเน้นการประมวลผลแบบ edge computing ที่สามารถทำงานได้โดยไม่ต้องพึ่งพา cloud ตลอดเวลา ชิปนี้ยังถูกออกแบบให้รองรับการประมวลผลแบบ real-time สำหรับการขับขี่อัตโนมัติ และการวิเคราะห์ภาพจากกล้องหลายตัวในรถ การร่วมมือกับ Samsung และ TSMC ไม่เพียงแต่ช่วยให้ Tesla สามารถผลิตชิปได้ในปริมาณมาก แต่ยังเป็นการกระจายความเสี่ยงด้าน supply chain ในช่วงที่อุตสาหกรรมเซมิคอนดักเตอร์ทั่วโลกยังเผชิญกับความไม่แน่นอน ✅ การเปิดตัวชิป AI5 รุ่นใหม่ของ Tesla ➡️ มีประสิทธิภาพสูงกว่ารุ่นก่อนถึง 40 เท่า ➡️ ใช้ในระบบ Full Self-Driving (FSD) และ Dojo ➡️ รองรับการประมวลผลแบบ edge computing และ real-time ➡️ ออกแบบมาเพื่อวิเคราะห์ภาพจากกล้องหลายตัวในรถ ✅ ความร่วมมือกับผู้ผลิตชิป ➡️ ผลิตโดย Samsung และ TSMC ➡️ ช่วยเพิ่มกำลังการผลิตและลดความเสี่ยงด้าน supply chain ➡️ ใช้เทคโนโลยีการผลิตขั้นสูง เช่น 2nm และ 3nm ✅ ผลกระทบต่ออุตสาหกรรมรถยนต์ ➡️ ยกระดับความสามารถของรถยนต์ Tesla ในการขับขี่อัตโนมัติ ➡️ เพิ่มความแม่นยำและความเร็วในการประมวลผลข้อมูลจากเซนเซอร์ ➡️ อาจเป็นจุดเปลี่ยนสำคัญในการแข่งขันด้าน AI ในรถยนต์ ‼️ ข้อควรระวังและความท้าทาย ⛔ การผลิตชิปขั้นสูงต้องใช้เทคโนโลยีที่ซับซ้อนและต้นทุนสูง ⛔ ความล่าช้าในการผลิตอาจกระทบต่อการเปิดตัวรถรุ่นใหม่ ⛔ การพึ่งพาผู้ผลิตภายนอกอาจมีความเสี่ยงด้านความมั่นคงของ supply chain ⛔ หากระบบ FSD ยังไม่ผ่านการรับรองในหลายประเทศ อาจจำกัดการใช้งานจริง https://www.tomshardware.com/tech-industry/elon-musk-claims-teslas-new-ai5-chip-is-40x-more-performant-than-previous-gen-ai5-next-gen-custom-silicon-for-vehicle-ai-to-now-be-built-by-samsung-and-tsmc
    0 ความคิดเห็น 0 การแบ่งปัน 68 มุมมอง 0 รีวิว
  • Starlink จับมือ Samsung พัฒนาโมเด็ม AI – ปูทางสู่การเชื่อมต่อ 6G จากดาวเทียมสู่มือถือโดยตรง

    Starlink ของ Elon Musk กำลังร่วมมือกับ Samsung เพื่อพัฒนาโมเด็มรุ่นใหม่ที่มีหน่วยประมวลผล AI (NPU) ในตัว โดยมีเป้าหมายเพื่อให้สามารถเชื่อมต่ออุปกรณ์บนโลกกับดาวเทียมได้โดยตรง โดยไม่ต้องผ่านสถานีฐานแบบเดิม ซึ่งถือเป็นก้าวสำคัญในการสร้างเครือข่าย 6G แบบ non-terrestrial network (NTN)

    โมเด็มใหม่นี้จะใช้ AI ในการ “คาดการณ์ตำแหน่งดาวเทียมและปรับสัญญาณแบบเรียลไทม์” ซึ่งช่วยให้การเชื่อมต่อมีประสิทธิภาพมากขึ้น โดย Samsung อ้างว่าโมเด็ม Exynos รุ่นใหม่สามารถปรับปรุงการระบุลำแสงและการคาดการณ์ช่องสัญญาณได้ดีกว่าเดิมถึง 55 เท่าและ 42 เท่าตามลำดับ

    SpaceX ยังลงทุนซื้อคลื่นความถี่ 50 MHz และ MSS เพื่อรองรับบริการ 6G NTN โดยมีมูลค่าการลงทุนสูงถึง 17 พันล้านดอลลาร์ ซึ่งแสดงให้เห็นถึงความจริงจังในการผลักดันเทคโนโลยีนี้

    นอกจากการใช้งานในสมาร์ทโฟนแล้ว โมเด็มนี้ยังสามารถนำไปใช้ในยานยนต์และหุ่นยนต์ ซึ่งไม่ต้องการประสิทธิภาพด้านพลังงานสูงเท่ากับอุปกรณ์พกพา

    ความร่วมมือระหว่าง Starlink และ Samsung
    พัฒนาโมเด็มที่มี NPU เพื่อเชื่อมต่อกับดาวเทียมโดยตรง
    ใช้ AI ในการคาดการณ์ตำแหน่งดาวเทียมและปรับสัญญาณแบบเรียลไทม์
    รองรับเครือข่าย 6G แบบ non-terrestrial network (NTN)

    ความสามารถของโมเด็ม Exynos รุ่นใหม่
    ปรับปรุงการระบุลำแสงได้ดีขึ้น 55 เท่า
    คาดการณ์ช่องสัญญาณได้ดีขึ้น 42 เท่า
    รองรับการใช้งานแบบเรียลไทม์ที่โมเด็มปัจจุบันยังทำไม่ได้

    การลงทุนของ SpaceX
    ซื้อคลื่นความถี่ 50 MHz และ MSS เพื่อรองรับบริการ 6G
    มูลค่าการลงทุนสูงถึง 17 พันล้านดอลลาร์
    แสดงถึงความมุ่งมั่นในการสร้างเครือข่ายดาวเทียมระดับโลก

    การใช้งานในอุตสาหกรรมอื่น
    โมเด็มสามารถนำไปใช้ในยานยนต์และหุ่นยนต์
    ไม่ต้องการประสิทธิภาพด้านพลังงานสูงเท่ากับสมาร์ทโฟน

    ข้อควรระวังและความท้าทาย
    การเชื่อมต่อโดยตรงกับดาวเทียมยังเป็นเทคโนโลยีใหม่ที่ต้องทดสอบ
    ความแม่นยำในการคาดการณ์ตำแหน่งดาวเทียมอาจมีผลต่อคุณภาพสัญญาณ
    การใช้งานในสมาร์ทโฟนอาจเผชิญกับข้อจำกัดด้านพลังงานและขนาดโมเด็ม
    การพัฒนาโมเด็ม AI ต้องใช้ทรัพยากรสูงและอาจมีต้นทุนที่แพงในช่วงแรก

    https://www.tomshardware.com/networking/elon-musks-starlink-reportedly-tasks-samsung-to-build-ai-powered-modem-space-based-6g-service-could-revolutionize-satellite-to-device-connectivity
    🚀 Starlink จับมือ Samsung พัฒนาโมเด็ม AI – ปูทางสู่การเชื่อมต่อ 6G จากดาวเทียมสู่มือถือโดยตรง Starlink ของ Elon Musk กำลังร่วมมือกับ Samsung เพื่อพัฒนาโมเด็มรุ่นใหม่ที่มีหน่วยประมวลผล AI (NPU) ในตัว โดยมีเป้าหมายเพื่อให้สามารถเชื่อมต่ออุปกรณ์บนโลกกับดาวเทียมได้โดยตรง โดยไม่ต้องผ่านสถานีฐานแบบเดิม ซึ่งถือเป็นก้าวสำคัญในการสร้างเครือข่าย 6G แบบ non-terrestrial network (NTN) โมเด็มใหม่นี้จะใช้ AI ในการ “คาดการณ์ตำแหน่งดาวเทียมและปรับสัญญาณแบบเรียลไทม์” ซึ่งช่วยให้การเชื่อมต่อมีประสิทธิภาพมากขึ้น โดย Samsung อ้างว่าโมเด็ม Exynos รุ่นใหม่สามารถปรับปรุงการระบุลำแสงและการคาดการณ์ช่องสัญญาณได้ดีกว่าเดิมถึง 55 เท่าและ 42 เท่าตามลำดับ SpaceX ยังลงทุนซื้อคลื่นความถี่ 50 MHz และ MSS เพื่อรองรับบริการ 6G NTN โดยมีมูลค่าการลงทุนสูงถึง 17 พันล้านดอลลาร์ ซึ่งแสดงให้เห็นถึงความจริงจังในการผลักดันเทคโนโลยีนี้ นอกจากการใช้งานในสมาร์ทโฟนแล้ว โมเด็มนี้ยังสามารถนำไปใช้ในยานยนต์และหุ่นยนต์ ซึ่งไม่ต้องการประสิทธิภาพด้านพลังงานสูงเท่ากับอุปกรณ์พกพา ✅ ความร่วมมือระหว่าง Starlink และ Samsung ➡️ พัฒนาโมเด็มที่มี NPU เพื่อเชื่อมต่อกับดาวเทียมโดยตรง ➡️ ใช้ AI ในการคาดการณ์ตำแหน่งดาวเทียมและปรับสัญญาณแบบเรียลไทม์ ➡️ รองรับเครือข่าย 6G แบบ non-terrestrial network (NTN) ✅ ความสามารถของโมเด็ม Exynos รุ่นใหม่ ➡️ ปรับปรุงการระบุลำแสงได้ดีขึ้น 55 เท่า ➡️ คาดการณ์ช่องสัญญาณได้ดีขึ้น 42 เท่า ➡️ รองรับการใช้งานแบบเรียลไทม์ที่โมเด็มปัจจุบันยังทำไม่ได้ ✅ การลงทุนของ SpaceX ➡️ ซื้อคลื่นความถี่ 50 MHz และ MSS เพื่อรองรับบริการ 6G ➡️ มูลค่าการลงทุนสูงถึง 17 พันล้านดอลลาร์ ➡️ แสดงถึงความมุ่งมั่นในการสร้างเครือข่ายดาวเทียมระดับโลก ✅ การใช้งานในอุตสาหกรรมอื่น ➡️ โมเด็มสามารถนำไปใช้ในยานยนต์และหุ่นยนต์ ➡️ ไม่ต้องการประสิทธิภาพด้านพลังงานสูงเท่ากับสมาร์ทโฟน ‼️ ข้อควรระวังและความท้าทาย ⛔ การเชื่อมต่อโดยตรงกับดาวเทียมยังเป็นเทคโนโลยีใหม่ที่ต้องทดสอบ ⛔ ความแม่นยำในการคาดการณ์ตำแหน่งดาวเทียมอาจมีผลต่อคุณภาพสัญญาณ ⛔ การใช้งานในสมาร์ทโฟนอาจเผชิญกับข้อจำกัดด้านพลังงานและขนาดโมเด็ม ⛔ การพัฒนาโมเด็ม AI ต้องใช้ทรัพยากรสูงและอาจมีต้นทุนที่แพงในช่วงแรก https://www.tomshardware.com/networking/elon-musks-starlink-reportedly-tasks-samsung-to-build-ai-powered-modem-space-based-6g-service-could-revolutionize-satellite-to-device-connectivity
    WWW.TOMSHARDWARE.COM
    Elon Musk's Starlink reportedly tasks Samsung to build AI-powered modem — space-based 6G service could revolutionize satellite-to-device connectivity
    The modem’s NPU will be used to ‘predict satellite trajectories and optimize signal links in real time,’ it is claimed.
    0 ความคิดเห็น 0 การแบ่งปัน 76 มุมมอง 0 รีวิว
  • “Exynos 2600 ยังไม่พร้อมใช้กับ Galaxy S26 ทุกรุ่น – ผลิตได้แค่ 15,000 แผ่น wafer เท่านั้น!”

    Samsung เริ่มผลิตชิป Exynos 2600 ด้วยเทคโนโลยี 2nm GAA (Gate-All-Around) สำหรับใช้ใน Galaxy S26 แต่ดูเหมือนว่าการผลิตยังไม่พร้อมเต็มที่ เพราะมีรายงานว่าผลิตได้เพียง 15,000 wafer เท่านั้น ซึ่งถือว่าน้อยมากเมื่อเทียบกับความต้องการของตลาด

    แม้ก่อนหน้านี้จะมีข่าวว่า Exynos 2600 มีประสิทธิภาพสูงกว่า Snapdragon 8 Elite Gen 5 และ A19 Pro ในการทดสอบภายใน แต่ปัญหาหลักคือ อัตราผลิตที่ได้ (yield) ยังอยู่ที่ประมาณ 50% เท่านั้น ทำให้ชิปที่ผลิตได้จริงมีจำนวนจำกัด

    ผลคือ Galaxy S26 จะมีเพียง 30% ของเครื่องทั้งหมด ที่ใช้ Exynos 2600 ส่วนที่เหลือจะใช้ Snapdragon 8 Elite Gen 5 แทน แม้ก่อนหน้านี้จะมีข่าวว่า S26 Ultra จะใช้ Exynos ด้วย แต่ข้อมูลล่าสุดชี้ว่าอาจไม่เป็นจริง

    แหล่งข่าวยังระบุว่า Exynos 2600 ถูกมองว่า “premature” หรือยังไม่พร้อมใช้งานในระดับ mass production และ Samsung ยังต้องปรับปรุง yield ให้สูงขึ้นก่อนจะใช้กับรุ่นเรือธงทั้งหมด

    นอกจากนี้ Samsung ยังมีแผนใช้เทคโนโลยี 2nm GAA เดียวกันในการผลิตชิป AI6 ของ Tesla ซึ่งอยู่ในช่วง pilot production โดยตั้งเป้าเพิ่ม yield ให้ถึง 50% ภายในรอบการผลิตถัดไป

    สถานะการผลิตของ Exynos 2600
    ผลิตได้เพียง 15,000 wafer เท่านั้น
    Yield อยู่ที่ประมาณ 50%
    ใช้เทคโนโลยี 2nm GAA ที่ยังไม่เสถียร
    ถูกมองว่ายัง “premature” สำหรับการใช้งานใน Galaxy S26 ทุกรุ่น

    ผลกระทบต่อ Galaxy S26
    มีเพียง 30% ของเครื่องที่ใช้ Exynos 2600
    ส่วนใหญ่จะใช้ Snapdragon 8 Elite Gen 5 แทน
    S26 Ultra อาจไม่ได้ใช้ Exynos ตามที่เคยคาดไว้
    ประสิทธิภาพของ Exynos 2600 ยังสูงกว่าในการทดสอบภายใน

    แผนของ Samsung ในอนาคต
    ตั้งเป้าเพิ่ม yield เป็น 70%
    ใช้เทคโนโลยีเดียวกันผลิตชิป AI6 ให้ Tesla
    หวังดึงลูกค้าใหม่ เช่น Qualcomm หาก yield ดีขึ้น
    แข่งกับ TSMC ในตลาด 2nm GAA

    ข้อควรระวังและคำเตือน
    Yield ต่ำทำให้ต้นทุนต่อชิปสูงและจำนวนผลิตจำกัด
    การใช้ชิปที่ยังไม่เสถียรอาจกระทบต่อภาพลักษณ์ของ Galaxy S26
    หากไม่สามารถเพิ่ม yield ได้ อาจเสียเปรียบ TSMC ในระยะยาว
    การพึ่งพา Snapdragon มากเกินไปอาจลดความเป็นอิสระของ Samsung
    การผลิต AI6 บนเทคโนโลยีเดียวกันอาจเจอปัญหา yield ซ้ำซ้อน

    https://wccftech.com/exynos-2600-initial-production-volume-15000-wafers-considered-premature-for-all-galaxy-s26-models/
    📱 “Exynos 2600 ยังไม่พร้อมใช้กับ Galaxy S26 ทุกรุ่น – ผลิตได้แค่ 15,000 แผ่น wafer เท่านั้น!” Samsung เริ่มผลิตชิป Exynos 2600 ด้วยเทคโนโลยี 2nm GAA (Gate-All-Around) สำหรับใช้ใน Galaxy S26 แต่ดูเหมือนว่าการผลิตยังไม่พร้อมเต็มที่ เพราะมีรายงานว่าผลิตได้เพียง 15,000 wafer เท่านั้น ซึ่งถือว่าน้อยมากเมื่อเทียบกับความต้องการของตลาด แม้ก่อนหน้านี้จะมีข่าวว่า Exynos 2600 มีประสิทธิภาพสูงกว่า Snapdragon 8 Elite Gen 5 และ A19 Pro ในการทดสอบภายใน แต่ปัญหาหลักคือ อัตราผลิตที่ได้ (yield) ยังอยู่ที่ประมาณ 50% เท่านั้น ทำให้ชิปที่ผลิตได้จริงมีจำนวนจำกัด ผลคือ Galaxy S26 จะมีเพียง 30% ของเครื่องทั้งหมด ที่ใช้ Exynos 2600 ส่วนที่เหลือจะใช้ Snapdragon 8 Elite Gen 5 แทน แม้ก่อนหน้านี้จะมีข่าวว่า S26 Ultra จะใช้ Exynos ด้วย แต่ข้อมูลล่าสุดชี้ว่าอาจไม่เป็นจริง แหล่งข่าวยังระบุว่า Exynos 2600 ถูกมองว่า “premature” หรือยังไม่พร้อมใช้งานในระดับ mass production และ Samsung ยังต้องปรับปรุง yield ให้สูงขึ้นก่อนจะใช้กับรุ่นเรือธงทั้งหมด นอกจากนี้ Samsung ยังมีแผนใช้เทคโนโลยี 2nm GAA เดียวกันในการผลิตชิป AI6 ของ Tesla ซึ่งอยู่ในช่วง pilot production โดยตั้งเป้าเพิ่ม yield ให้ถึง 50% ภายในรอบการผลิตถัดไป ✅ สถานะการผลิตของ Exynos 2600 ➡️ ผลิตได้เพียง 15,000 wafer เท่านั้น ➡️ Yield อยู่ที่ประมาณ 50% ➡️ ใช้เทคโนโลยี 2nm GAA ที่ยังไม่เสถียร ➡️ ถูกมองว่ายัง “premature” สำหรับการใช้งานใน Galaxy S26 ทุกรุ่น ✅ ผลกระทบต่อ Galaxy S26 ➡️ มีเพียง 30% ของเครื่องที่ใช้ Exynos 2600 ➡️ ส่วนใหญ่จะใช้ Snapdragon 8 Elite Gen 5 แทน ➡️ S26 Ultra อาจไม่ได้ใช้ Exynos ตามที่เคยคาดไว้ ➡️ ประสิทธิภาพของ Exynos 2600 ยังสูงกว่าในการทดสอบภายใน ✅ แผนของ Samsung ในอนาคต ➡️ ตั้งเป้าเพิ่ม yield เป็น 70% ➡️ ใช้เทคโนโลยีเดียวกันผลิตชิป AI6 ให้ Tesla ➡️ หวังดึงลูกค้าใหม่ เช่น Qualcomm หาก yield ดีขึ้น ➡️ แข่งกับ TSMC ในตลาด 2nm GAA ‼️ ข้อควรระวังและคำเตือน ⛔ Yield ต่ำทำให้ต้นทุนต่อชิปสูงและจำนวนผลิตจำกัด ⛔ การใช้ชิปที่ยังไม่เสถียรอาจกระทบต่อภาพลักษณ์ของ Galaxy S26 ⛔ หากไม่สามารถเพิ่ม yield ได้ อาจเสียเปรียบ TSMC ในระยะยาว ⛔ การพึ่งพา Snapdragon มากเกินไปอาจลดความเป็นอิสระของ Samsung ⛔ การผลิต AI6 บนเทคโนโลยีเดียวกันอาจเจอปัญหา yield ซ้ำซ้อน https://wccftech.com/exynos-2600-initial-production-volume-15000-wafers-considered-premature-for-all-galaxy-s26-models/
    WCCFTECH.COM
    Exynos 2600 Is Considered ‘Premature’ To Be Used In All Galaxy S26 Models; Initial Production Volume Is Only 15,000 Wafers, Possibly Due To Poor Yields
    A report says that the initial production volume of the Exynos 2600 is 15,000 units and may not be available in larger quantities to be used in all Galaxy S26 versions
    0 ความคิดเห็น 0 การแบ่งปัน 165 มุมมอง 0 รีวิว
  • “จีนสร้างซูเปอร์คอมพิวเตอร์ 37 ล้านคอร์ – จำลองเคมีควอนตัมระดับโมเลกุลด้วย AI!”

    จีนประกาศความสำเร็จครั้งใหญ่ในการจำลองเคมีควอนตัมระดับโมเลกุล ด้วยซูเปอร์คอมพิวเตอร์ Sunway ที่มีจำนวนคอร์ประมวลผลมากถึง 37 ล้านคอร์! ความสำเร็จนี้เกิดขึ้นจากการผสานพลังของ AI เข้ากับการคำนวณเชิงควอนตัม ซึ่งเป็นโจทย์ที่ซับซ้อนและใช้ทรัพยากรสูงมาก

    ทีมวิจัยจาก National Research Center of Parallel Computer Engineering & Technology (NRCPC) ใช้ Sunway supercomputer ในการจำลองปฏิกิริยาเคมีที่ซับซ้อนระดับอะตอม โดยใช้โมเดล AI ขนาดใหญ่ที่มี context ยาวถึง 20,000 tokens ซึ่งช่วยให้สามารถวิเคราะห์โครงสร้างโมเลกุลและพฤติกรรมควอนตัมได้แม่นยำขึ้น

    สิ่งที่น่าทึ่งคือ Sunway ใช้สถาปัตยกรรมที่ออกแบบเองทั้งหมด โดยไม่พึ่งพาเทคโนโลยีจากตะวันตก และสามารถประมวลผลได้เร็วกว่าเครื่องระดับ exascale ที่ใช้ GPU แบบทั่วไปหลายเท่า

    การจำลองเคมีควอนตัมระดับนี้มีความสำคัญมากในงานด้านวัสดุศาสตร์ ยา และพลังงาน เพราะสามารถช่วยออกแบบโมเลกุลใหม่ ๆ ได้โดยไม่ต้องทดลองในห้องแล็บ ซึ่งลดต้นทุนและเวลาได้มหาศาล

    ความสำเร็จของ Sunway Supercomputer
    ใช้คอร์ประมวลผลมากถึง 37 ล้านคอร์
    จำลองเคมีควอนตัมระดับโมเลกุลได้สำเร็จ
    ใช้โมเดล AI ขนาดใหญ่ที่มี context ยาวถึง 20,000 tokens
    ประมวลผลเร็วกว่า exascale GPU หลายเท่า
    สถาปัตยกรรมออกแบบเอง ไม่พึ่งเทคโนโลยีตะวันตก

    ความสำคัญของการจำลองเคมีควอนตัม
    ช่วยออกแบบวัสดุใหม่ เช่น ตัวนำยิ่งยวดหรือแบตเตอรี่
    ใช้ในงานด้านเภสัชกรรมเพื่อออกแบบยาใหม่
    ลดต้นทุนและเวลาในการทดลองในห้องแล็บ
    เพิ่มความแม่นยำในการวิเคราะห์พฤติกรรมโมเลกุล
    เป็นก้าวสำคัญในการรวม AI เข้ากับวิทยาศาสตร์พื้นฐาน

    ข้อควรระวังและคำเตือน
    การจำลองเคมีควอนตัมต้องใช้พลังงานมหาศาล
    โมเดล AI ขนาดใหญ่ยังมีข้อจำกัดด้านความแม่นยำในบางบริบท
    การพัฒนาเทคโนโลยีแบบปิดอาจขาดความร่วมมือจากนานาชาติ
    ผลลัพธ์จากการจำลองยังต้องตรวจสอบกับการทดลองจริง
    การใช้ซูเปอร์คอมพิวเตอร์ระดับนี้ต้องการบุคลากรที่มีความเชี่ยวชาญสูง

    https://www.tomshardware.com/tech-industry/supercomputers/china-supercomputer-breakthrough-models-complex-quantum-chemistry-at-molecular-scale-37-million-processor-cores-fuse-ai-and-quantum-science
    🧬 “จีนสร้างซูเปอร์คอมพิวเตอร์ 37 ล้านคอร์ – จำลองเคมีควอนตัมระดับโมเลกุลด้วย AI!” จีนประกาศความสำเร็จครั้งใหญ่ในการจำลองเคมีควอนตัมระดับโมเลกุล ด้วยซูเปอร์คอมพิวเตอร์ Sunway ที่มีจำนวนคอร์ประมวลผลมากถึง 37 ล้านคอร์! ความสำเร็จนี้เกิดขึ้นจากการผสานพลังของ AI เข้ากับการคำนวณเชิงควอนตัม ซึ่งเป็นโจทย์ที่ซับซ้อนและใช้ทรัพยากรสูงมาก ทีมวิจัยจาก National Research Center of Parallel Computer Engineering & Technology (NRCPC) ใช้ Sunway supercomputer ในการจำลองปฏิกิริยาเคมีที่ซับซ้อนระดับอะตอม โดยใช้โมเดล AI ขนาดใหญ่ที่มี context ยาวถึง 20,000 tokens ซึ่งช่วยให้สามารถวิเคราะห์โครงสร้างโมเลกุลและพฤติกรรมควอนตัมได้แม่นยำขึ้น สิ่งที่น่าทึ่งคือ Sunway ใช้สถาปัตยกรรมที่ออกแบบเองทั้งหมด โดยไม่พึ่งพาเทคโนโลยีจากตะวันตก และสามารถประมวลผลได้เร็วกว่าเครื่องระดับ exascale ที่ใช้ GPU แบบทั่วไปหลายเท่า การจำลองเคมีควอนตัมระดับนี้มีความสำคัญมากในงานด้านวัสดุศาสตร์ ยา และพลังงาน เพราะสามารถช่วยออกแบบโมเลกุลใหม่ ๆ ได้โดยไม่ต้องทดลองในห้องแล็บ ซึ่งลดต้นทุนและเวลาได้มหาศาล ✅ ความสำเร็จของ Sunway Supercomputer ➡️ ใช้คอร์ประมวลผลมากถึง 37 ล้านคอร์ ➡️ จำลองเคมีควอนตัมระดับโมเลกุลได้สำเร็จ ➡️ ใช้โมเดล AI ขนาดใหญ่ที่มี context ยาวถึง 20,000 tokens ➡️ ประมวลผลเร็วกว่า exascale GPU หลายเท่า ➡️ สถาปัตยกรรมออกแบบเอง ไม่พึ่งเทคโนโลยีตะวันตก ✅ ความสำคัญของการจำลองเคมีควอนตัม ➡️ ช่วยออกแบบวัสดุใหม่ เช่น ตัวนำยิ่งยวดหรือแบตเตอรี่ ➡️ ใช้ในงานด้านเภสัชกรรมเพื่อออกแบบยาใหม่ ➡️ ลดต้นทุนและเวลาในการทดลองในห้องแล็บ ➡️ เพิ่มความแม่นยำในการวิเคราะห์พฤติกรรมโมเลกุล ➡️ เป็นก้าวสำคัญในการรวม AI เข้ากับวิทยาศาสตร์พื้นฐาน ‼️ ข้อควรระวังและคำเตือน ⛔ การจำลองเคมีควอนตัมต้องใช้พลังงานมหาศาล ⛔ โมเดล AI ขนาดใหญ่ยังมีข้อจำกัดด้านความแม่นยำในบางบริบท ⛔ การพัฒนาเทคโนโลยีแบบปิดอาจขาดความร่วมมือจากนานาชาติ ⛔ ผลลัพธ์จากการจำลองยังต้องตรวจสอบกับการทดลองจริง ⛔ การใช้ซูเปอร์คอมพิวเตอร์ระดับนี้ต้องการบุคลากรที่มีความเชี่ยวชาญสูง https://www.tomshardware.com/tech-industry/supercomputers/china-supercomputer-breakthrough-models-complex-quantum-chemistry-at-molecular-scale-37-million-processor-cores-fuse-ai-and-quantum-science
    0 ความคิดเห็น 0 การแบ่งปัน 108 มุมมอง 0 รีวิว
  • “Stanford โชว์นวัตกรรม ‘ผ้าห่มเพชร’ ลดความร้อนทรานซิสเตอร์ได้ถึง 70°C – อนาคตของชิปยุค 1nm ใกล้เข้ามาแล้ว!”

    ทีมวิจัยจากมหาวิทยาลัย Stanford ได้พัฒนาเทคนิคใหม่ในการจัดการความร้อนของทรานซิสเตอร์ ด้วยการใช้ “เพชร” เป็นวัสดุห่อหุ้มชิปโดยตรง ซึ่งสามารถลดอุณหภูมิได้ถึง 70°C ในการทดสอบจริง และถึง 90% ในการจำลองการทำงาน ถือเป็นก้าวกระโดดครั้งใหญ่ในสงครามกับความร้อนของอุปกรณ์อิเล็กทรอนิกส์

    เทคนิคนี้เรียกว่า “Diamond Blanket” โดยใช้เพชรแบบ polycrystalline ที่มีเม็ดใหญ่พิเศษ เติบโตโดยตรงบนพื้นผิวของทรานซิสเตอร์ที่อุณหภูมิ 400°C ซึ่งถือว่าต่ำพอที่จะไม่ทำลายชิ้นส่วน CMOS ภายในชิป ต่างจากวิธีเดิมที่ต้องใช้ความร้อนสูงถึง 1,000°C

    ความลับของความสำเร็จอยู่ที่การเติมออกซิเจนในระดับสูงระหว่างการเติบโตของเพชร ซึ่งช่วยกำจัดคาร์บอนที่ไม่ใช่เพชรออกไป ทำให้ได้ผลึกเพชรที่นำความร้อนได้ดีมาก โดยเพชรชนิดนี้นำความร้อนได้มากกว่าทองแดงถึง 6 เท่า!

    เทคนิคนี้ไม่ใช่แค่แนวคิด เพราะ DARPA หน่วยงานวิจัยของกระทรวงกลาโหมสหรัฐฯ ได้ว่าจ้าง Raytheon ให้พัฒนาเทคโนโลยีนี้ตั้งแต่ปี 2024 และตอนนี้ Stanford ก็เตรียมนำไปใช้ร่วมกับบริษัทใหญ่อย่าง TSMC, Micron และ Samsung เพื่อผลักดันสู่การผลิตจริงภายในปี 2027

    นวัตกรรม Diamond Blanket จาก Stanford
    ใช้เพชรห่อหุ้มทรานซิสเตอร์โดยตรงเพื่อลดความร้อน
    ลดอุณหภูมิได้ถึง 70°C ในการทดสอบจริง และ 90% ในการจำลอง
    ใช้เพชรแบบ polycrystalline เม็ดใหญ่พิเศษ
    เติบโตที่อุณหภูมิ 400°C ซึ่งปลอดภัยต่อ CMOS
    เติมออกซิเจนเพื่อกำจัดคาร์บอนที่ไม่ใช่เพชร
    เพชรนำความร้อนได้มากกว่าทองแดงถึง 6 เท่า
    เหมาะกับชิปแบบ 3D ที่มีปัญหาความร้อนสะสมภายใน

    การสนับสนุนและแผนการนำไปใช้
    DARPA เคยว่าจ้าง Raytheon พัฒนาเทคโนโลยีนี้ในปี 2024
    Stanford เตรียมร่วมมือกับ TSMC, Micron และ Samsung
    คาดว่าจะเริ่มใช้งานจริงในอุตสาหกรรมภายในปี 2027
    อาจเป็นทางออกก่อนเข้าสู่ยุคหลังซิลิคอน

    https://www.tomshardware.com/tech-industry/semiconductors/diamond-blanket-transistor-cooling-method-sees-incredible-success-in-testing-growing-micrometer-scale-diamond-layer-directly-on-transistors-drops-temps-by-70-c
    💎 “Stanford โชว์นวัตกรรม ‘ผ้าห่มเพชร’ ลดความร้อนทรานซิสเตอร์ได้ถึง 70°C – อนาคตของชิปยุค 1nm ใกล้เข้ามาแล้ว!” ทีมวิจัยจากมหาวิทยาลัย Stanford ได้พัฒนาเทคนิคใหม่ในการจัดการความร้อนของทรานซิสเตอร์ ด้วยการใช้ “เพชร” เป็นวัสดุห่อหุ้มชิปโดยตรง ซึ่งสามารถลดอุณหภูมิได้ถึง 70°C ในการทดสอบจริง และถึง 90% ในการจำลองการทำงาน ถือเป็นก้าวกระโดดครั้งใหญ่ในสงครามกับความร้อนของอุปกรณ์อิเล็กทรอนิกส์ เทคนิคนี้เรียกว่า “Diamond Blanket” โดยใช้เพชรแบบ polycrystalline ที่มีเม็ดใหญ่พิเศษ เติบโตโดยตรงบนพื้นผิวของทรานซิสเตอร์ที่อุณหภูมิ 400°C ซึ่งถือว่าต่ำพอที่จะไม่ทำลายชิ้นส่วน CMOS ภายในชิป ต่างจากวิธีเดิมที่ต้องใช้ความร้อนสูงถึง 1,000°C ความลับของความสำเร็จอยู่ที่การเติมออกซิเจนในระดับสูงระหว่างการเติบโตของเพชร ซึ่งช่วยกำจัดคาร์บอนที่ไม่ใช่เพชรออกไป ทำให้ได้ผลึกเพชรที่นำความร้อนได้ดีมาก โดยเพชรชนิดนี้นำความร้อนได้มากกว่าทองแดงถึง 6 เท่า! เทคนิคนี้ไม่ใช่แค่แนวคิด เพราะ DARPA หน่วยงานวิจัยของกระทรวงกลาโหมสหรัฐฯ ได้ว่าจ้าง Raytheon ให้พัฒนาเทคโนโลยีนี้ตั้งแต่ปี 2024 และตอนนี้ Stanford ก็เตรียมนำไปใช้ร่วมกับบริษัทใหญ่อย่าง TSMC, Micron และ Samsung เพื่อผลักดันสู่การผลิตจริงภายในปี 2027 ✅ นวัตกรรม Diamond Blanket จาก Stanford ➡️ ใช้เพชรห่อหุ้มทรานซิสเตอร์โดยตรงเพื่อลดความร้อน ➡️ ลดอุณหภูมิได้ถึง 70°C ในการทดสอบจริง และ 90% ในการจำลอง ➡️ ใช้เพชรแบบ polycrystalline เม็ดใหญ่พิเศษ ➡️ เติบโตที่อุณหภูมิ 400°C ซึ่งปลอดภัยต่อ CMOS ➡️ เติมออกซิเจนเพื่อกำจัดคาร์บอนที่ไม่ใช่เพชร ➡️ เพชรนำความร้อนได้มากกว่าทองแดงถึง 6 เท่า ➡️ เหมาะกับชิปแบบ 3D ที่มีปัญหาความร้อนสะสมภายใน ✅ การสนับสนุนและแผนการนำไปใช้ ➡️ DARPA เคยว่าจ้าง Raytheon พัฒนาเทคโนโลยีนี้ในปี 2024 ➡️ Stanford เตรียมร่วมมือกับ TSMC, Micron และ Samsung ➡️ คาดว่าจะเริ่มใช้งานจริงในอุตสาหกรรมภายในปี 2027 ➡️ อาจเป็นทางออกก่อนเข้าสู่ยุคหลังซิลิคอน https://www.tomshardware.com/tech-industry/semiconductors/diamond-blanket-transistor-cooling-method-sees-incredible-success-in-testing-growing-micrometer-scale-diamond-layer-directly-on-transistors-drops-temps-by-70-c
    0 ความคิดเห็น 0 การแบ่งปัน 132 มุมมอง 0 รีวิว
  • “TSMC ยังเหนียวแน่น! Qualcomm และ MediaTek ไม่น่าจะเปลี่ยนไปใช้ Samsung สำหรับชิป 2nm – เหตุผลทั้งเทคนิคและเวลา”

    หลายคนอาจเคยได้ยินข่าวลือว่า Qualcomm กับ MediaTek อาจเปลี่ยนไปใช้ Samsung Foundry สำหรับการผลิตชิป 2nm แทน TSMC เพราะราคาที่ TSMC ตั้งไว้สูงถึง $30,000 ต่อแผ่นเวเฟอร์ ทำให้ดูเหมือนว่าทั้งสองบริษัทอาจหาทางเลือกใหม่เพื่อประหยัดต้นทุน

    แต่ล่าสุดมีรายงานจาก DigiTimes ที่อ้างแหล่งข่าวในสายการผลิตว่า “ไม่น่าเป็นไปได้” ที่ Qualcomm และ MediaTek จะเปลี่ยนไปใช้ Samsung ในเร็ว ๆ นี้ โดยมีเหตุผลหลักสองข้อคือ “เรื่องเวลา” และ “ความพร้อมของเทคโนโลยี”

    แม้ว่า MediaTek จะประกาศว่าได้ tape-out ชิป 2nm แล้วและจะเปิดตัวปลายปี 2026 แต่ไม่ได้ระบุว่าใช้โรงงานไหน ซึ่งทำให้เกิดการคาดเดาว่าอาจเป็น Samsung แต่แหล่งข่าวบอกว่า ถ้าจะใช้เทคโนโลยี GAA 2nm ของ Samsung จริง ๆ ก็ต้องรอถึงปี 2027 ถึงจะพร้อมใช้งานในเชิงพาณิชย์

    อีกประเด็นคือเรื่องของการตามให้ทัน Apple ซึ่งเป็นผู้นำด้านเทคโนโลยีการผลิตชิป โดย Qualcomm และ MediaTek ไม่อยากปล่อยให้ Apple นำหน้าไปอีกหนึ่งเจเนอเรชัน จึงเลือกที่จะอยู่กับ TSMC แม้ราคาจะสูง แต่ก็ถือว่า “รับได้” เพื่อรักษาความเร็วและคุณภาพของผลิตภัณฑ์

    นอกจากนี้ยังมีข้อมูลว่า Qualcomm เคยขอ sample ชิป 2nm จาก Samsung เพื่อทดสอบ ซึ่งอาจเป็นการเตรียมความพร้อมสำหรับอนาคตมากกว่าการเปลี่ยนโรงงานในตอนนี้

    สถานการณ์การผลิตชิป 2nm
    TSMC ยังคงเป็นผู้ผลิตหลักของ Qualcomm และ MediaTek
    ราคาชิป 2nm จาก TSMC อยู่ที่ $30,000 ต่อเวเฟอร์
    MediaTek tape-out ชิป 2nm แล้ว เตรียมเปิดตัวปลายปี 2026
    Samsung ยังไม่พร้อมผลิตชิป 2nm GAA จนกว่าจะถึงปี 2027
    Qualcomm เคยขอ sample จาก Samsung เพื่อทดสอบ
    ทั้งสองบริษัทต้องการตามให้ทัน Apple ในด้านเทคโนโลยี
    การอยู่กับ TSMC ช่วยรักษาคุณภาพและความเร็วในการพัฒนา

    ความเคลื่อนไหวในอุตสาหกรรม
    Apple เคยใช้ TSMC N3B สำหรับ M3, M3 Pro และ M3 Max
    Tape-out ของ Apple มีต้นทุนสูงถึง $1 พันล้าน
    Snapdragon 8 Gen 3 และ Dimensity 9300 ใช้ TSMC 3nm รุ่นแรก
    Snapdragon 8 Elite Gen 5 และ Dimensity 9500 มีต้นทุนสูงขึ้น
    อาจมีการใช้ dual-sourcing ในอนาคตระหว่าง TSMC และ Samsung

    https://wccftech.com/qualcomm-and-mediatek-are-unlikely-to-switch-to-samsung-for-2nm-chip-orders/
    🔧 “TSMC ยังเหนียวแน่น! Qualcomm และ MediaTek ไม่น่าจะเปลี่ยนไปใช้ Samsung สำหรับชิป 2nm – เหตุผลทั้งเทคนิคและเวลา” หลายคนอาจเคยได้ยินข่าวลือว่า Qualcomm กับ MediaTek อาจเปลี่ยนไปใช้ Samsung Foundry สำหรับการผลิตชิป 2nm แทน TSMC เพราะราคาที่ TSMC ตั้งไว้สูงถึง $30,000 ต่อแผ่นเวเฟอร์ ทำให้ดูเหมือนว่าทั้งสองบริษัทอาจหาทางเลือกใหม่เพื่อประหยัดต้นทุน แต่ล่าสุดมีรายงานจาก DigiTimes ที่อ้างแหล่งข่าวในสายการผลิตว่า “ไม่น่าเป็นไปได้” ที่ Qualcomm และ MediaTek จะเปลี่ยนไปใช้ Samsung ในเร็ว ๆ นี้ โดยมีเหตุผลหลักสองข้อคือ “เรื่องเวลา” และ “ความพร้อมของเทคโนโลยี” แม้ว่า MediaTek จะประกาศว่าได้ tape-out ชิป 2nm แล้วและจะเปิดตัวปลายปี 2026 แต่ไม่ได้ระบุว่าใช้โรงงานไหน ซึ่งทำให้เกิดการคาดเดาว่าอาจเป็น Samsung แต่แหล่งข่าวบอกว่า ถ้าจะใช้เทคโนโลยี GAA 2nm ของ Samsung จริง ๆ ก็ต้องรอถึงปี 2027 ถึงจะพร้อมใช้งานในเชิงพาณิชย์ อีกประเด็นคือเรื่องของการตามให้ทัน Apple ซึ่งเป็นผู้นำด้านเทคโนโลยีการผลิตชิป โดย Qualcomm และ MediaTek ไม่อยากปล่อยให้ Apple นำหน้าไปอีกหนึ่งเจเนอเรชัน จึงเลือกที่จะอยู่กับ TSMC แม้ราคาจะสูง แต่ก็ถือว่า “รับได้” เพื่อรักษาความเร็วและคุณภาพของผลิตภัณฑ์ นอกจากนี้ยังมีข้อมูลว่า Qualcomm เคยขอ sample ชิป 2nm จาก Samsung เพื่อทดสอบ ซึ่งอาจเป็นการเตรียมความพร้อมสำหรับอนาคตมากกว่าการเปลี่ยนโรงงานในตอนนี้ ✅ สถานการณ์การผลิตชิป 2nm ➡️ TSMC ยังคงเป็นผู้ผลิตหลักของ Qualcomm และ MediaTek ➡️ ราคาชิป 2nm จาก TSMC อยู่ที่ $30,000 ต่อเวเฟอร์ ➡️ MediaTek tape-out ชิป 2nm แล้ว เตรียมเปิดตัวปลายปี 2026 ➡️ Samsung ยังไม่พร้อมผลิตชิป 2nm GAA จนกว่าจะถึงปี 2027 ➡️ Qualcomm เคยขอ sample จาก Samsung เพื่อทดสอบ ➡️ ทั้งสองบริษัทต้องการตามให้ทัน Apple ในด้านเทคโนโลยี ➡️ การอยู่กับ TSMC ช่วยรักษาคุณภาพและความเร็วในการพัฒนา ✅ ความเคลื่อนไหวในอุตสาหกรรม ➡️ Apple เคยใช้ TSMC N3B สำหรับ M3, M3 Pro และ M3 Max ➡️ Tape-out ของ Apple มีต้นทุนสูงถึง $1 พันล้าน ➡️ Snapdragon 8 Gen 3 และ Dimensity 9300 ใช้ TSMC 3nm รุ่นแรก ➡️ Snapdragon 8 Elite Gen 5 และ Dimensity 9500 มีต้นทุนสูงขึ้น ➡️ อาจมีการใช้ dual-sourcing ในอนาคตระหว่าง TSMC และ Samsung https://wccftech.com/qualcomm-and-mediatek-are-unlikely-to-switch-to-samsung-for-2nm-chip-orders/
    WCCFTECH.COM
    Qualcomm, MediaTek Switching To Samsung Foundry For 2nm Chip Orders Seems Unlikely, Supply Chain Sources Believe That Timing Makes The Switch Impossible
    A new report says that supply chain sources are unconvinced that Qualcomm and MediaTek will begin placing 2nm chip orders with Samsung
    0 ความคิดเห็น 0 การแบ่งปัน 80 มุมมอง 0 รีวิว
  • https://youtu.be/lKvZCrdSRWQ?si=I5ecAbsN3c4Wy2MA #sunny #แบกเป้เกอร์ #ท่องเที่ยว #เวียดนาม #Vietnam #ว่างว่างก็แวะมา
    https://youtu.be/lKvZCrdSRWQ?si=I5ecAbsN3c4Wy2MA #sunny #แบกเป้เกอร์ #ท่องเที่ยว #เวียดนาม #Vietnam #ว่างว่างก็แวะมา
    0 ความคิดเห็น 0 การแบ่งปัน 71 มุมมอง 0 รีวิว
  • “ESUN: พันธมิตรเทคโนโลยีใหญ่เปิดตัว Ethernet มาตรฐานใหม่ ท้าชน InfiniBand สำหรับยุค AI”

    Meta, Nvidia, OpenAI และ AMD รวมพลังกับบริษัทเทคโนโลยีชั้นนำอีกหลายราย เปิดตัวโครงการ ESUN (Ethernet for Scale-Up Networking) ภายใต้การนำของ Open Compute Project (OCP) เพื่อพัฒนา Ethernet แบบเปิดสำหรับการเชื่อมต่อภายในคลัสเตอร์ AI ขนาดใหญ่ โดยมีเป้าหมายเพื่อแข่งขันกับ InfiniBand ซึ่งครองตลาดอยู่กว่า 80% ในระบบ GPU และ accelerator

    ESUN จะทำงานร่วมกับ Ultra Ethernet Consortium และ IEEE 802.3 เพื่อกำหนดมาตรฐานใหม่ที่ครอบคลุมพฤติกรรมของสวิตช์ โปรโตคอล header การจัดการ error และการส่งข้อมูลแบบ lossless พร้อมศึกษาผลกระทบต่อ load balancing และ memory ordering ในระบบที่ใช้ GPU เป็นหลัก

    จุดประสงค์ของ ESUN
    พัฒนา Ethernet แบบเปิดสำหรับคลัสเตอร์ AI ขนาดใหญ่
    ลดความซับซ้อนของระบบ interconnect ด้วยมาตรฐานที่คุ้นเคย
    ลดต้นทุนและเพิ่มความสามารถในการปรับขยายระบบ

    พันธมิตรในโครงการ ESUN
    Meta, Nvidia, OpenAI, AMD, Cisco, Microsoft, Oracle
    Arista, ARM, Broadcom, HPE, Marvell และอื่น ๆ
    ทำงานร่วมกับ Ultra Ethernet Consortium และ IEEE 802.3

    เทคโนโลยีที่เกี่ยวข้อง
    Broadcom Tomahawk Ultra switch รองรับ 77 พันล้านแพ็กเก็ตต่อวินาที
    Nvidia Spectrum-X รวม Ethernet กับ acceleration hardware
    OCP เคยทดลอง Ethernet transport ผ่าน SUE-T (SUE-Transport)

    ข้อดีของ Ethernet สำหรับ AI
    คุ้นเคยกับวิศวกรทั่วไปมากกว่า InfiniBand
    มีความสามารถในการปรับขยายและ interoperability สูง
    ลดต้นทุนและความซับซ้อนในการจัดการระบบ

    คำเตือนและข้อจำกัด
    InfiniBand ยังเหนือกว่าในด้าน latency และ reliability สำหรับงาน AI หนัก
    ESUN ต้องพิสูจน์ตัวเองใน workload ที่ต้องการความแม่นยำสูง
    การเปลี่ยนจากระบบเดิมต้องใช้เวลาและการลงทุนมหาศาล

    https://www.techradar.com/pro/meta-joins-nvidia-openai-and-amd-to-launch-ethernet-for-scale-up-network-esun-competes-with-infiniband-but-will-work-with-ultra-ethernet-consortium
    🌐 “ESUN: พันธมิตรเทคโนโลยีใหญ่เปิดตัว Ethernet มาตรฐานใหม่ ท้าชน InfiniBand สำหรับยุค AI” Meta, Nvidia, OpenAI และ AMD รวมพลังกับบริษัทเทคโนโลยีชั้นนำอีกหลายราย เปิดตัวโครงการ ESUN (Ethernet for Scale-Up Networking) ภายใต้การนำของ Open Compute Project (OCP) เพื่อพัฒนา Ethernet แบบเปิดสำหรับการเชื่อมต่อภายในคลัสเตอร์ AI ขนาดใหญ่ โดยมีเป้าหมายเพื่อแข่งขันกับ InfiniBand ซึ่งครองตลาดอยู่กว่า 80% ในระบบ GPU และ accelerator ESUN จะทำงานร่วมกับ Ultra Ethernet Consortium และ IEEE 802.3 เพื่อกำหนดมาตรฐานใหม่ที่ครอบคลุมพฤติกรรมของสวิตช์ โปรโตคอล header การจัดการ error และการส่งข้อมูลแบบ lossless พร้อมศึกษาผลกระทบต่อ load balancing และ memory ordering ในระบบที่ใช้ GPU เป็นหลัก ✅ จุดประสงค์ของ ESUN ➡️ พัฒนา Ethernet แบบเปิดสำหรับคลัสเตอร์ AI ขนาดใหญ่ ➡️ ลดความซับซ้อนของระบบ interconnect ด้วยมาตรฐานที่คุ้นเคย ➡️ ลดต้นทุนและเพิ่มความสามารถในการปรับขยายระบบ ✅ พันธมิตรในโครงการ ESUN ➡️ Meta, Nvidia, OpenAI, AMD, Cisco, Microsoft, Oracle ➡️ Arista, ARM, Broadcom, HPE, Marvell และอื่น ๆ ➡️ ทำงานร่วมกับ Ultra Ethernet Consortium และ IEEE 802.3 ✅ เทคโนโลยีที่เกี่ยวข้อง ➡️ Broadcom Tomahawk Ultra switch รองรับ 77 พันล้านแพ็กเก็ตต่อวินาที ➡️ Nvidia Spectrum-X รวม Ethernet กับ acceleration hardware ➡️ OCP เคยทดลอง Ethernet transport ผ่าน SUE-T (SUE-Transport) ✅ ข้อดีของ Ethernet สำหรับ AI ➡️ คุ้นเคยกับวิศวกรทั่วไปมากกว่า InfiniBand ➡️ มีความสามารถในการปรับขยายและ interoperability สูง ➡️ ลดต้นทุนและความซับซ้อนในการจัดการระบบ ‼️ คำเตือนและข้อจำกัด ⛔ InfiniBand ยังเหนือกว่าในด้าน latency และ reliability สำหรับงาน AI หนัก ⛔ ESUN ต้องพิสูจน์ตัวเองใน workload ที่ต้องการความแม่นยำสูง ⛔ การเปลี่ยนจากระบบเดิมต้องใช้เวลาและการลงทุนมหาศาล https://www.techradar.com/pro/meta-joins-nvidia-openai-and-amd-to-launch-ethernet-for-scale-up-network-esun-competes-with-infiniband-but-will-work-with-ultra-ethernet-consortium
    WWW.TECHRADAR.COM
    Tech bigwigs want to rewrite the future of AI networking with open Ethernet
    Engineers hope Ethernet can simplify complex GPU interconnect systems
    0 ความคิดเห็น 0 การแบ่งปัน 139 มุมมอง 0 รีวิว
  • "Samsung ร่วมมือ Nvidia สร้าง CPU และ XPU แบบใหม่—ขยายอำนาจ NVLink ท่ามกลางการแข่งขัน AI"

    Nvidia กำลังขยายอิทธิพลในโลก AI ด้วยการร่วมมือกับ Samsung Foundry เพื่อออกแบบและผลิต CPU และ XPU แบบ non-x86 โดยใช้เทคโนโลยี NVLink Fusion ซึ่งเป็นระบบเชื่อมต่อความเร็วสูงระหว่าง CPU, GPU และ accelerator ที่ออกแบบมาเพื่อใช้งานในระดับ rack-scale data center

    การประกาศนี้เกิดขึ้นในงาน OCP Global Summit ปี 2025 โดยมีเป้าหมายเพื่อรับมือกับการแข่งขันจากบริษัทใหญ่อย่าง OpenAI, Google, AWS, Broadcom และ Meta ที่กำลังพัฒนาเทคโนโลยีชิปของตัวเองเพื่อลดการพึ่งพา Nvidia

    Samsung จะมีบทบาทตั้งแต่การออกแบบไปจนถึงการผลิตชิปแบบ custom โดยใช้ NVLink Fusion เป็นแกนกลาง ซึ่งช่วยให้ CPU และ XPU สามารถสื่อสารกับ GPU ของ Nvidia ได้โดยตรงแบบ high-bandwidth, low-latency

    ความร่วมมือระหว่าง Nvidia และ Samsung
    Samsung Foundry จะช่วยออกแบบและผลิต CPU/XPU แบบ non-x86
    ใช้เทคโนโลยี NVLink Fusion เพื่อเชื่อมต่อกับ GPU ของ Nvidia
    ขยาย ecosystem ของ Nvidia ในระดับ data center

    จุดเด่นของ NVLink Fusion
    เป็น IP และ chiplet solution สำหรับการเชื่อมต่อ CPU-GPU-accelerator
    รองรับการสื่อสารแบบ high-speed ในระบบ rack-scale
    ช่วยลด bottleneck ระหว่างองค์ประกอบของระบบประมวลผล

    การแข่งขันในตลาด AI
    OpenAI, Google, AWS, Meta และ Broadcom กำลังพัฒนาชิปของตัวเอง
    Nvidia ต้องสร้างความได้เปรียบผ่านการควบคุมทั้ง hardware stack
    การร่วมมือกับ Samsung ช่วยเพิ่มความสามารถในการผลิตและออกแบบ

    ข้อจำกัดของ NVLink Fusion
    ชิปที่ใช้ NVLink Fusion ต้องเชื่อมต่อกับผลิตภัณฑ์ของ Nvidia เท่านั้น
    Nvidia ควบคุม controller, PHY layer และ NVLink Switch licensing
    อาจเกิดความกังวลเรื่อง vendor lock-in และความเปิดของ ecosystem

    สาระเพิ่มเติมจากภายนอก:
    ความหมายของ XPU
    เป็นแนวคิดใหม่ที่รวม CPU, GPU และ accelerator เข้าด้วยกัน
    ออกแบบมาเพื่อรองรับงาน AI และ HPC โดยเฉพาะ

    บทบาทของ Samsung Foundry
    เป็นหนึ่งในผู้ผลิตชิประดับโลกที่มีเทคโนโลยีการผลิตขั้นสูง
    การร่วมมือครั้งนี้ช่วยให้ Nvidia มีทางเลือกนอกเหนือจาก TSMC

    https://www.techradar.com/pro/samsung-will-help-nvidia-build-custom-non-x86-cpu-and-xpu-in-a-bid-to-stave-off-competition-from-openai-google-aws-broadcom-meta-and-its-other-key-partners
    🔧 "Samsung ร่วมมือ Nvidia สร้าง CPU และ XPU แบบใหม่—ขยายอำนาจ NVLink ท่ามกลางการแข่งขัน AI" Nvidia กำลังขยายอิทธิพลในโลก AI ด้วยการร่วมมือกับ Samsung Foundry เพื่อออกแบบและผลิต CPU และ XPU แบบ non-x86 โดยใช้เทคโนโลยี NVLink Fusion ซึ่งเป็นระบบเชื่อมต่อความเร็วสูงระหว่าง CPU, GPU และ accelerator ที่ออกแบบมาเพื่อใช้งานในระดับ rack-scale data center การประกาศนี้เกิดขึ้นในงาน OCP Global Summit ปี 2025 โดยมีเป้าหมายเพื่อรับมือกับการแข่งขันจากบริษัทใหญ่อย่าง OpenAI, Google, AWS, Broadcom และ Meta ที่กำลังพัฒนาเทคโนโลยีชิปของตัวเองเพื่อลดการพึ่งพา Nvidia Samsung จะมีบทบาทตั้งแต่การออกแบบไปจนถึงการผลิตชิปแบบ custom โดยใช้ NVLink Fusion เป็นแกนกลาง ซึ่งช่วยให้ CPU และ XPU สามารถสื่อสารกับ GPU ของ Nvidia ได้โดยตรงแบบ high-bandwidth, low-latency ✅ ความร่วมมือระหว่าง Nvidia และ Samsung ➡️ Samsung Foundry จะช่วยออกแบบและผลิต CPU/XPU แบบ non-x86 ➡️ ใช้เทคโนโลยี NVLink Fusion เพื่อเชื่อมต่อกับ GPU ของ Nvidia ➡️ ขยาย ecosystem ของ Nvidia ในระดับ data center ✅ จุดเด่นของ NVLink Fusion ➡️ เป็น IP และ chiplet solution สำหรับการเชื่อมต่อ CPU-GPU-accelerator ➡️ รองรับการสื่อสารแบบ high-speed ในระบบ rack-scale ➡️ ช่วยลด bottleneck ระหว่างองค์ประกอบของระบบประมวลผล ✅ การแข่งขันในตลาด AI ➡️ OpenAI, Google, AWS, Meta และ Broadcom กำลังพัฒนาชิปของตัวเอง ➡️ Nvidia ต้องสร้างความได้เปรียบผ่านการควบคุมทั้ง hardware stack ➡️ การร่วมมือกับ Samsung ช่วยเพิ่มความสามารถในการผลิตและออกแบบ ‼️ ข้อจำกัดของ NVLink Fusion ⛔ ชิปที่ใช้ NVLink Fusion ต้องเชื่อมต่อกับผลิตภัณฑ์ของ Nvidia เท่านั้น ⛔ Nvidia ควบคุม controller, PHY layer และ NVLink Switch licensing ⛔ อาจเกิดความกังวลเรื่อง vendor lock-in และความเปิดของ ecosystem 📎 สาระเพิ่มเติมจากภายนอก: ✅ ความหมายของ XPU ➡️ เป็นแนวคิดใหม่ที่รวม CPU, GPU และ accelerator เข้าด้วยกัน ➡️ ออกแบบมาเพื่อรองรับงาน AI และ HPC โดยเฉพาะ ✅ บทบาทของ Samsung Foundry ➡️ เป็นหนึ่งในผู้ผลิตชิประดับโลกที่มีเทคโนโลยีการผลิตขั้นสูง ➡️ การร่วมมือครั้งนี้ช่วยให้ Nvidia มีทางเลือกนอกเหนือจาก TSMC https://www.techradar.com/pro/samsung-will-help-nvidia-build-custom-non-x86-cpu-and-xpu-in-a-bid-to-stave-off-competition-from-openai-google-aws-broadcom-meta-and-its-other-key-partners
    WWW.TECHRADAR.COM
    Samsung joins Nvidia to power custom CPUs and XPUs
    Custom chips under NVLink Fusion remain tied to Nvidia products exclusively
    0 ความคิดเห็น 0 การแบ่งปัน 148 มุมมอง 0 รีวิว
  • "อำลา Yang Chen-Ning: นักฟิสิกส์ผู้เปลี่ยนโลกและสร้างสะพานวิทยาศาสตร์ระหว่างประเทศ"

    Yang Chen-Ning นักฟิสิกส์ระดับโลกและผู้ได้รับรางวัลโนเบลคนแรกของจีน เสียชีวิตอย่างสงบในกรุงปักกิ่งเมื่อวันเสาร์ที่ผ่านมา ด้วยวัย 103 ปี เขาเป็นบุคคลสำคัญที่มีบทบาททั้งในวงการฟิสิกส์ระดับโลกและการพัฒนาวิทยาศาสตร์ของจีน

    Yang ได้รับรางวัลโนเบลสาขาฟิสิกส์ร่วมกับ Tsung-Dao Lee ในปี 1957 จากทฤษฎี “Parity Non-Conservation” ซึ่งพลิกโฉมความเข้าใจเกี่ยวกับแรงพื้นฐานในธรรมชาติ เขายังเป็นผู้ร่วมพัฒนา “Yang-Mills Theory” ซึ่งกลายเป็นรากฐานสำคัญของ Standard Model ในฟิสิกส์อนุภาค

    ชีวิตของเขาเต็มไปด้วยการเดินทางทางวิชาการที่ยิ่งใหญ่ ตั้งแต่เรียนที่มหาวิทยาลัยชิคาโก ไปจนถึงเป็นศาสตราจารย์ที่ Princeton และ SUNY Stony Brook ก่อนจะกลับมารับตำแหน่งที่ Tsinghua University ในจีน ซึ่งเขาอุทิศตนเพื่อพัฒนาการศึกษาวิทยาศาสตร์และสร้างโอกาสให้กับนักวิจัยรุ่นใหม่

    Yang ไม่เพียงเป็นนักฟิสิกส์ผู้ยิ่งใหญ่ แต่ยังเป็นผู้เชื่อมโยงโลกวิทยาศาสตร์ระหว่างจีนและสหรัฐฯ ผ่านการแลกเปลี่ยนนักวิจัยและการให้คำปรึกษาแก่รัฐบาลจีนในโครงการวิทยาศาสตร์ระดับชาติ

    ประวัติชีวิตและการศึกษา
    เกิดที่ Hefei, Anhui ในปี 1922
    เรียนที่ National Southwestern Associated University และ Tsinghua University
    ได้รับปริญญาเอกจาก University of Chicago ในปี 1948

    เส้นทางอาชีพในต่างประเทศ
    เป็นสมาชิกถาวรของ Institute for Advanced Study ที่ Princeton
    ดำรงตำแหน่ง Albert Einstein Professor ที่ SUNY Stony Brook จนถึงปี 1999
    เป็น visiting professor ที่ Chinese University of Hong Kong ตั้งแต่ปี 1986

    ผลงานทางวิทยาศาสตร์
    ร่วมกับ Tsung-Dao Lee เสนอทฤษฎี Parity Non-Conservation ใน weak interaction
    พัฒนา Yang-Mills Theory ซึ่งเป็นรากฐานของ Standard Model
    ได้รับการยกย่องว่าเป็นหนึ่งในนักฟิสิกส์ที่ยิ่งใหญ่ที่สุดของศตวรรษที่ 20

    บทบาทในจีน
    กลับมารับตำแหน่งที่ Tsinghua University และเป็นประธานกิตติมศักดิ์ของ Institute for Advanced Study
    เสนอแนวทางการฟื้นฟูงานวิจัยพื้นฐานให้รัฐบาลจีน
    สนับสนุนทุนการศึกษาให้นักวิจัยจีนไปศึกษาต่อในสหรัฐฯ
    มีบทบาทสำคัญในการพัฒนานโยบายวิทยาศาสตร์ระดับชาติ

    ความสูญเสียของวงการวิทยาศาสตร์
    การจากไปของ Yang ถือเป็นการสูญเสียบุคคลสำคัญที่มีบทบาททั้งในระดับโลกและระดับชาติ
    ทิ้งไว้เพียงผลงานและแรงบันดาลใจให้กับนักวิทยาศาสตร์รุ่นใหม่

    มรดกทางวิชาการ
    ได้รับปริญญากิตติมศักดิ์จากมหาวิทยาลัยชั้นนำกว่า 20 แห่งทั่วโลก
    เป็นสมาชิกต่างชาติของสถาบันวิทยาศาสตร์มากกว่า 10 แห่ง
    ผลงานของเขายังคงเป็นรากฐานของฟิสิกส์ยุคใหม่

    https://www.chinadaily.com.cn/a/202510/18/WS68f3170ea310f735438b5bf2.html
    🪦 "อำลา Yang Chen-Ning: นักฟิสิกส์ผู้เปลี่ยนโลกและสร้างสะพานวิทยาศาสตร์ระหว่างประเทศ" Yang Chen-Ning นักฟิสิกส์ระดับโลกและผู้ได้รับรางวัลโนเบลคนแรกของจีน เสียชีวิตอย่างสงบในกรุงปักกิ่งเมื่อวันเสาร์ที่ผ่านมา ด้วยวัย 103 ปี เขาเป็นบุคคลสำคัญที่มีบทบาททั้งในวงการฟิสิกส์ระดับโลกและการพัฒนาวิทยาศาสตร์ของจีน Yang ได้รับรางวัลโนเบลสาขาฟิสิกส์ร่วมกับ Tsung-Dao Lee ในปี 1957 จากทฤษฎี “Parity Non-Conservation” ซึ่งพลิกโฉมความเข้าใจเกี่ยวกับแรงพื้นฐานในธรรมชาติ เขายังเป็นผู้ร่วมพัฒนา “Yang-Mills Theory” ซึ่งกลายเป็นรากฐานสำคัญของ Standard Model ในฟิสิกส์อนุภาค ชีวิตของเขาเต็มไปด้วยการเดินทางทางวิชาการที่ยิ่งใหญ่ ตั้งแต่เรียนที่มหาวิทยาลัยชิคาโก ไปจนถึงเป็นศาสตราจารย์ที่ Princeton และ SUNY Stony Brook ก่อนจะกลับมารับตำแหน่งที่ Tsinghua University ในจีน ซึ่งเขาอุทิศตนเพื่อพัฒนาการศึกษาวิทยาศาสตร์และสร้างโอกาสให้กับนักวิจัยรุ่นใหม่ Yang ไม่เพียงเป็นนักฟิสิกส์ผู้ยิ่งใหญ่ แต่ยังเป็นผู้เชื่อมโยงโลกวิทยาศาสตร์ระหว่างจีนและสหรัฐฯ ผ่านการแลกเปลี่ยนนักวิจัยและการให้คำปรึกษาแก่รัฐบาลจีนในโครงการวิทยาศาสตร์ระดับชาติ ✅ ประวัติชีวิตและการศึกษา ➡️ เกิดที่ Hefei, Anhui ในปี 1922 ➡️ เรียนที่ National Southwestern Associated University และ Tsinghua University ➡️ ได้รับปริญญาเอกจาก University of Chicago ในปี 1948 ✅ เส้นทางอาชีพในต่างประเทศ ➡️ เป็นสมาชิกถาวรของ Institute for Advanced Study ที่ Princeton ➡️ ดำรงตำแหน่ง Albert Einstein Professor ที่ SUNY Stony Brook จนถึงปี 1999 ➡️ เป็น visiting professor ที่ Chinese University of Hong Kong ตั้งแต่ปี 1986 ✅ ผลงานทางวิทยาศาสตร์ ➡️ ร่วมกับ Tsung-Dao Lee เสนอทฤษฎี Parity Non-Conservation ใน weak interaction ➡️ พัฒนา Yang-Mills Theory ซึ่งเป็นรากฐานของ Standard Model ➡️ ได้รับการยกย่องว่าเป็นหนึ่งในนักฟิสิกส์ที่ยิ่งใหญ่ที่สุดของศตวรรษที่ 20 ✅ บทบาทในจีน ➡️ กลับมารับตำแหน่งที่ Tsinghua University และเป็นประธานกิตติมศักดิ์ของ Institute for Advanced Study ➡️ เสนอแนวทางการฟื้นฟูงานวิจัยพื้นฐานให้รัฐบาลจีน ➡️ สนับสนุนทุนการศึกษาให้นักวิจัยจีนไปศึกษาต่อในสหรัฐฯ ➡️ มีบทบาทสำคัญในการพัฒนานโยบายวิทยาศาสตร์ระดับชาติ ‼️ ความสูญเสียของวงการวิทยาศาสตร์ ⛔ การจากไปของ Yang ถือเป็นการสูญเสียบุคคลสำคัญที่มีบทบาททั้งในระดับโลกและระดับชาติ ⛔ ทิ้งไว้เพียงผลงานและแรงบันดาลใจให้กับนักวิทยาศาสตร์รุ่นใหม่ ✅ มรดกทางวิชาการ ➡️ ได้รับปริญญากิตติมศักดิ์จากมหาวิทยาลัยชั้นนำกว่า 20 แห่งทั่วโลก ➡️ เป็นสมาชิกต่างชาติของสถาบันวิทยาศาสตร์มากกว่า 10 แห่ง ➡️ ผลงานของเขายังคงเป็นรากฐานของฟิสิกส์ยุคใหม่ https://www.chinadaily.com.cn/a/202510/18/WS68f3170ea310f735438b5bf2.html
    0 ความคิดเห็น 0 การแบ่งปัน 170 มุมมอง 0 รีวิว
  • “วิธีเอาน้ำออกจากช่องชาร์จมือถือ — ทำผิดวิธีอาจพังหนักกว่าเดิม” — เมื่อการใช้ไดร์เป่าผมหรือข้าวสารไม่ใช่คำตอบ และการอบแห้งคือทางรอดที่แท้จริง

    บทความจาก SlashGear แนะนำวิธีจัดการเมื่อน้ำเข้าไปในช่องชาร์จมือถือ ซึ่งอาจเกิดจากอุบัติเหตุเล็ก ๆ เช่นทำตกน้ำ หรือโดนเครื่องดื่มหกใส่ แม้จะดูน่ากังวล แต่หากจัดการอย่างถูกวิธี ก็สามารถช่วยให้มือถือกลับมาใช้งานได้ตามปกติ

    สิ่งแรกที่ควรทำคือ “ปิดเครื่องทันที” และถอดอุปกรณ์เสริมทั้งหมด จากนั้นใช้ผ้าแห้งที่ไม่มีขุยเช็ดบริเวณช่องชาร์จอย่างระมัดระวัง ห้ามใช้ไดร์เป่าผมหรือจุ่มลงในข้าวสาร เพราะอาจทำให้เกิดความเสียหายมากขึ้น

    วิธีที่แนะนำคือ:
    เขย่าเครื่องเบา ๆ หรือเคาะกับฝ่ามือเพื่อให้น้ำออก
    วางไว้ในห้องที่มีอากาศถ่ายเทดี หรือใช้พัดลมเป่าช่วย
    ใส่ในถุงซิปล็อกพร้อมซองซิลิกาเจลเพื่อดูดความชื้น

    หากช่องชาร์จแห้งแล้วแต่ยังชาร์จไม่ได้:
    ลองเปลี่ยนสายชาร์จ เพราะสายที่เปียกอาจทำให้ระบบตรวจจับความชื้นยังทำงานอยู่
    สำหรับ Samsung: เข้า Settings > Apps > Show system apps > USB Settings > Clear cache
    สำหรับ Android รุ่นอื่น: ลองหาการตั้งค่า USB ใน System Apps
    สำหรับ iPhone: ไม่มีตัวเลือก USB Settings ให้เคลียร์ cache — ลองรีสตาร์ทเครื่องแทน

    หากยังไม่สามารถชาร์จได้ อาจเกิดจากความเสียหายภายในหรือการกัดกร่อนของวงจร ควรนำเครื่องไปตรวจสอบที่ศูนย์บริการ หรือใช้การชาร์จแบบไร้สายชั่วคราวหากรองรับ

    https://www.slashgear.com/1999314/how-to-remove-water-from-phone-charging-port/
    💧 “วิธีเอาน้ำออกจากช่องชาร์จมือถือ — ทำผิดวิธีอาจพังหนักกว่าเดิม” — เมื่อการใช้ไดร์เป่าผมหรือข้าวสารไม่ใช่คำตอบ และการอบแห้งคือทางรอดที่แท้จริง บทความจาก SlashGear แนะนำวิธีจัดการเมื่อน้ำเข้าไปในช่องชาร์จมือถือ ซึ่งอาจเกิดจากอุบัติเหตุเล็ก ๆ เช่นทำตกน้ำ หรือโดนเครื่องดื่มหกใส่ แม้จะดูน่ากังวล แต่หากจัดการอย่างถูกวิธี ก็สามารถช่วยให้มือถือกลับมาใช้งานได้ตามปกติ สิ่งแรกที่ควรทำคือ “ปิดเครื่องทันที” และถอดอุปกรณ์เสริมทั้งหมด จากนั้นใช้ผ้าแห้งที่ไม่มีขุยเช็ดบริเวณช่องชาร์จอย่างระมัดระวัง ห้ามใช้ไดร์เป่าผมหรือจุ่มลงในข้าวสาร เพราะอาจทำให้เกิดความเสียหายมากขึ้น วิธีที่แนะนำคือ: 💧 เขย่าเครื่องเบา ๆ หรือเคาะกับฝ่ามือเพื่อให้น้ำออก 💧 วางไว้ในห้องที่มีอากาศถ่ายเทดี หรือใช้พัดลมเป่าช่วย 💧 ใส่ในถุงซิปล็อกพร้อมซองซิลิกาเจลเพื่อดูดความชื้น หากช่องชาร์จแห้งแล้วแต่ยังชาร์จไม่ได้: ⚡ ลองเปลี่ยนสายชาร์จ เพราะสายที่เปียกอาจทำให้ระบบตรวจจับความชื้นยังทำงานอยู่ ⚡ สำหรับ Samsung: เข้า Settings > Apps > Show system apps > USB Settings > Clear cache ⚡ สำหรับ Android รุ่นอื่น: ลองหาการตั้งค่า USB ใน System Apps ⚡ สำหรับ iPhone: ไม่มีตัวเลือก USB Settings ให้เคลียร์ cache — ลองรีสตาร์ทเครื่องแทน หากยังไม่สามารถชาร์จได้ อาจเกิดจากความเสียหายภายในหรือการกัดกร่อนของวงจร ควรนำเครื่องไปตรวจสอบที่ศูนย์บริการ หรือใช้การชาร์จแบบไร้สายชั่วคราวหากรองรับ https://www.slashgear.com/1999314/how-to-remove-water-from-phone-charging-port/
    WWW.SLASHGEAR.COM
    How To Remove Water From Your Phone's Charging Port - SlashGear
    Air drying is the best method to remove water from a charging port, although silica gel packets may help accelerate the process.
    0 ความคิดเห็น 0 การแบ่งปัน 167 มุมมอง 0 รีวิว
  • “Phison E28 เปิดศึก SSD เจน 2 — TeamGroup Z54E นำทัพ PCIe 5.0 ความเร็วทะลุ 14.9 GB/s”

    TeamGroup เปิดตัว T-Force Z54E SSD รุ่นใหม่ล่าสุดที่ใช้คอนโทรลเลอร์ Phison E28 ซึ่งเป็นเจเนอเรชันที่สองของ PCIe 5.0 SSD โดยมีความเร็วในการอ่านข้อมูลสูงสุดถึง 14,900 MB/s และเขียนได้ถึง 14,000 MB/s ในรุ่น 2TB และ 4TB ส่วนรุ่น 1TB เขียนได้ 13,700 MB/s

    Phison E28 ผลิตด้วยเทคโนโลยี 6nm จาก TSMC และเป็นคำตอบของ Phison ต่อคู่แข่งอย่าง Silicon Motion SM2508 โดยมุ่งเน้นทั้งประสิทธิภาพและการใช้พลังงานที่ดีขึ้น

    แม้ TeamGroup จะไม่เปิดเผยชนิดของ NAND ที่ใช้ แต่คาดว่าเป็น 3D TLC NAND แบบ 232 ชั้น จาก Micron หรือ YMTC โดย SSD รุ่นนี้ยังมาพร้อม DRAM cache (ขนาดไม่ระบุ) และฮีตซิงก์กราฟีนแบบบางพิเศษเพื่อช่วยระบายความร้อน โดยไม่ใช้พัดลม

    ด้านความทนทาน (endurance) ก็ถือว่าอยู่ในระดับดี โดยรุ่น 1TB รองรับการเขียนข้อมูลได้ 600 TBW และเพิ่มขึ้นเป็น 1,200 TBW และ 2,400 TBW สำหรับรุ่น 2TB และ 4TB ตามลำดับ

    แม้ยังไม่เปิดเผยราคาและวันวางจำหน่ายอย่างเป็นทางการ แต่ TeamGroup ระบุว่าจะมีข้อมูลเพิ่มเติมเร็ว ๆ นี้

    TeamGroup เปิดตัว SSD รุ่น T-Force Z54E
    ใช้คอนโทรลเลอร์ Phison E28 รุ่นใหม่ล่าสุด

    ความเร็วสูงสุด: อ่าน 14,900 MB/s, เขียน 14,000 MB/s
    รุ่น 1TB เขียนได้ 13,700 MB/s

    ใช้เทคโนโลยี 6nm จาก TSMC
    เพิ่มประสิทธิภาพและลดการใช้พลังงาน

    คาดว่าใช้ NAND แบบ 3D TLC 232 ชั้น
    อาจมาจาก Micron หรือ YMTC

    มี DRAM cache (ขนาดไม่ระบุ)
    ช่วยเพิ่มประสิทธิภาพการเข้าถึงข้อมูล

    ฮีตซิงก์กราฟีนแบบบางพิเศษ
    ไม่มีพัดลม แต่รองรับการระบายความร้อนสูงสุด 7W

    ความทนทาน: 600–2,400 TBW ตามความจุ
    เทียบเท่าคู่แข่งอย่าง WD Black SN8100 และ Samsung 9100 Pro

    รับประกัน 5 ปี
    ยังไม่เปิดเผยราคาและวันวางจำหน่าย

    https://www.tomshardware.com/pc-components/ssds/second-gen-phison-ssd-controllers-hit-the-market-with-14-9-gb-s-speeds-teamgroup-z54e-spearheads-a-new-army-of-pcie-5-0-drives
    🚀 “Phison E28 เปิดศึก SSD เจน 2 — TeamGroup Z54E นำทัพ PCIe 5.0 ความเร็วทะลุ 14.9 GB/s” TeamGroup เปิดตัว T-Force Z54E SSD รุ่นใหม่ล่าสุดที่ใช้คอนโทรลเลอร์ Phison E28 ซึ่งเป็นเจเนอเรชันที่สองของ PCIe 5.0 SSD โดยมีความเร็วในการอ่านข้อมูลสูงสุดถึง 14,900 MB/s และเขียนได้ถึง 14,000 MB/s ในรุ่น 2TB และ 4TB ส่วนรุ่น 1TB เขียนได้ 13,700 MB/s Phison E28 ผลิตด้วยเทคโนโลยี 6nm จาก TSMC และเป็นคำตอบของ Phison ต่อคู่แข่งอย่าง Silicon Motion SM2508 โดยมุ่งเน้นทั้งประสิทธิภาพและการใช้พลังงานที่ดีขึ้น แม้ TeamGroup จะไม่เปิดเผยชนิดของ NAND ที่ใช้ แต่คาดว่าเป็น 3D TLC NAND แบบ 232 ชั้น จาก Micron หรือ YMTC โดย SSD รุ่นนี้ยังมาพร้อม DRAM cache (ขนาดไม่ระบุ) และฮีตซิงก์กราฟีนแบบบางพิเศษเพื่อช่วยระบายความร้อน โดยไม่ใช้พัดลม ด้านความทนทาน (endurance) ก็ถือว่าอยู่ในระดับดี โดยรุ่น 1TB รองรับการเขียนข้อมูลได้ 600 TBW และเพิ่มขึ้นเป็น 1,200 TBW และ 2,400 TBW สำหรับรุ่น 2TB และ 4TB ตามลำดับ แม้ยังไม่เปิดเผยราคาและวันวางจำหน่ายอย่างเป็นทางการ แต่ TeamGroup ระบุว่าจะมีข้อมูลเพิ่มเติมเร็ว ๆ นี้ ✅ TeamGroup เปิดตัว SSD รุ่น T-Force Z54E ➡️ ใช้คอนโทรลเลอร์ Phison E28 รุ่นใหม่ล่าสุด ✅ ความเร็วสูงสุด: อ่าน 14,900 MB/s, เขียน 14,000 MB/s ➡️ รุ่น 1TB เขียนได้ 13,700 MB/s ✅ ใช้เทคโนโลยี 6nm จาก TSMC ➡️ เพิ่มประสิทธิภาพและลดการใช้พลังงาน ✅ คาดว่าใช้ NAND แบบ 3D TLC 232 ชั้น ➡️ อาจมาจาก Micron หรือ YMTC ✅ มี DRAM cache (ขนาดไม่ระบุ) ➡️ ช่วยเพิ่มประสิทธิภาพการเข้าถึงข้อมูล ✅ ฮีตซิงก์กราฟีนแบบบางพิเศษ ➡️ ไม่มีพัดลม แต่รองรับการระบายความร้อนสูงสุด 7W ✅ ความทนทาน: 600–2,400 TBW ตามความจุ ➡️ เทียบเท่าคู่แข่งอย่าง WD Black SN8100 และ Samsung 9100 Pro ✅ รับประกัน 5 ปี ➡️ ยังไม่เปิดเผยราคาและวันวางจำหน่าย https://www.tomshardware.com/pc-components/ssds/second-gen-phison-ssd-controllers-hit-the-market-with-14-9-gb-s-speeds-teamgroup-z54e-spearheads-a-new-army-of-pcie-5-0-drives
    WWW.TOMSHARDWARE.COM
    Second-gen Phison SSD controllers hit the market with 14.9 GB/s speeds — TeamGroup Z54E spearheads a new army of PCIe 5.0 drives
    The T-Force Z54E brings lightning-fast PCIe 5.0 storage to consumers via the Phison E28 SSD controller.
    0 ความคิดเห็น 0 การแบ่งปัน 120 มุมมอง 0 รีวิว
  • “Sundar Pichai ยอมรับ ChatGPT แซงหน้า Google ชั่วคราว — เผยเบื้องหลัง ‘Code Red’ ที่ Dreamforce” — เมื่อผู้นำ Google เปิดใจถึงจุดเปลี่ยนของยุค AI และการตอบโต้ที่เปลี่ยนทิศทางบริษัท

    ในงาน Dreamforce 2025 Sundar Pichai ซีอีโอของ Google และ Alphabet ได้เปิดเผยอย่างตรงไปตรงมาว่า การเปิดตัว ChatGPT ของ OpenAI ในช่วงปลายปี 2022 ถือเป็นช่วงเวลาที่ “Google ถูกแซงหน้า” ในด้านเทคโนโลยี AI โดยเขายอมรับว่า ChatGPT ได้เปลี่ยนวิธีที่ผู้คนโต้ตอบกับการค้นหาข้อมูล และทำให้ Google ต้องประกาศ “Code Red” ภายในองค์กร

    Pichai เล่าว่า Google มีต้นแบบ AI สนทนาอยู่แล้วในตอนนั้น แต่ยังไม่พร้อมเปิดตัวเพราะกังวลเรื่องคุณภาพและความรับผิดชอบต่อผู้ใช้จำนวนมหาศาล จึงต้องใช้เวลาอีกหลายเดือนในการปรับปรุง ก่อนจะเปิดตัว Bard (ต่อมาเปลี่ยนชื่อเป็น Gemini) ในเดือนมีนาคม 2023

    เขาเปรียบเทียบสถานการณ์นี้กับอดีต เช่น ตอนที่ YouTube โผล่ขึ้นมาในปี 2006 ขณะที่ Google ยังพัฒนา video search อยู่ หรือเมื่อ Instagram แซงหน้า Facebook ในด้านการแชร์ภาพ — เหตุการณ์เหล่านี้คือ “จังหวะเปลี่ยนเกม” ที่บริษัทต้องปรับตัว

    แม้จะถูกมองว่า ChatGPT เป็นภัยคุกคาม แต่ Pichai กลับมองว่าเป็น “สัญญาณแห่งโอกาส” เพราะ Google มีโครงสร้างพื้นฐานด้าน AI ที่แข็งแกร่งอยู่แล้ว ทั้งในด้านการวิจัย, ชิป AI, และระบบคลาวด์ขนาดใหญ่

    Sundar Pichai ยอมรับว่า ChatGPT เคยแซงหน้า Google ชั่วคราว
    เกิดขึ้นช่วงปลายปี 2022 หลัง ChatGPT เปิดตัว

    Google ประกาศ “Code Red” ภายในองค์กร
    เร่งพัฒนาและเปิดตัว Bard (ต่อมาเปลี่ยนชื่อเป็น Gemini)

    Google มีต้นแบบ AI สนทนาอยู่แล้ว แต่ยังไม่พร้อมเปิดตัว
    กังวลเรื่องคุณภาพและความรับผิดชอบต่อผู้ใช้

    Pichai เปรียบเทียบเหตุการณ์นี้กับ YouTube และ Instagram
    มองว่าเป็นจังหวะเปลี่ยนเกมที่บริษัทต้องปรับตัว

    Google มีโครงสร้างพื้นฐานด้าน AI ที่แข็งแกร่ง
    เช่น ทีมวิจัย, ชิป AI, และระบบคลาวด์

    แม้จะมีโครงสร้างพื้นฐานที่แข็งแกร่ง แต่การนำ AI สู่ตลาดต้องเร็วและแม่นยำ
    ความล่าช้าอาจทำให้คู่แข่งได้เปรียบในเชิงกลยุทธ์

    https://securityonline.info/sundar-pichai-admits-chatgpt-temporarily-surpassed-google-recalls-code-red-moment-at-dreamforce/
    🚨 “Sundar Pichai ยอมรับ ChatGPT แซงหน้า Google ชั่วคราว — เผยเบื้องหลัง ‘Code Red’ ที่ Dreamforce” — เมื่อผู้นำ Google เปิดใจถึงจุดเปลี่ยนของยุค AI และการตอบโต้ที่เปลี่ยนทิศทางบริษัท ในงาน Dreamforce 2025 Sundar Pichai ซีอีโอของ Google และ Alphabet ได้เปิดเผยอย่างตรงไปตรงมาว่า การเปิดตัว ChatGPT ของ OpenAI ในช่วงปลายปี 2022 ถือเป็นช่วงเวลาที่ “Google ถูกแซงหน้า” ในด้านเทคโนโลยี AI โดยเขายอมรับว่า ChatGPT ได้เปลี่ยนวิธีที่ผู้คนโต้ตอบกับการค้นหาข้อมูล และทำให้ Google ต้องประกาศ “Code Red” ภายในองค์กร Pichai เล่าว่า Google มีต้นแบบ AI สนทนาอยู่แล้วในตอนนั้น แต่ยังไม่พร้อมเปิดตัวเพราะกังวลเรื่องคุณภาพและความรับผิดชอบต่อผู้ใช้จำนวนมหาศาล จึงต้องใช้เวลาอีกหลายเดือนในการปรับปรุง ก่อนจะเปิดตัว Bard (ต่อมาเปลี่ยนชื่อเป็น Gemini) ในเดือนมีนาคม 2023 เขาเปรียบเทียบสถานการณ์นี้กับอดีต เช่น ตอนที่ YouTube โผล่ขึ้นมาในปี 2006 ขณะที่ Google ยังพัฒนา video search อยู่ หรือเมื่อ Instagram แซงหน้า Facebook ในด้านการแชร์ภาพ — เหตุการณ์เหล่านี้คือ “จังหวะเปลี่ยนเกม” ที่บริษัทต้องปรับตัว แม้จะถูกมองว่า ChatGPT เป็นภัยคุกคาม แต่ Pichai กลับมองว่าเป็น “สัญญาณแห่งโอกาส” เพราะ Google มีโครงสร้างพื้นฐานด้าน AI ที่แข็งแกร่งอยู่แล้ว ทั้งในด้านการวิจัย, ชิป AI, และระบบคลาวด์ขนาดใหญ่ ✅ Sundar Pichai ยอมรับว่า ChatGPT เคยแซงหน้า Google ชั่วคราว ➡️ เกิดขึ้นช่วงปลายปี 2022 หลัง ChatGPT เปิดตัว ✅ Google ประกาศ “Code Red” ภายในองค์กร ➡️ เร่งพัฒนาและเปิดตัว Bard (ต่อมาเปลี่ยนชื่อเป็น Gemini) ✅ Google มีต้นแบบ AI สนทนาอยู่แล้ว แต่ยังไม่พร้อมเปิดตัว ➡️ กังวลเรื่องคุณภาพและความรับผิดชอบต่อผู้ใช้ ✅ Pichai เปรียบเทียบเหตุการณ์นี้กับ YouTube และ Instagram ➡️ มองว่าเป็นจังหวะเปลี่ยนเกมที่บริษัทต้องปรับตัว ✅ Google มีโครงสร้างพื้นฐานด้าน AI ที่แข็งแกร่ง ➡️ เช่น ทีมวิจัย, ชิป AI, และระบบคลาวด์ ‼️ แม้จะมีโครงสร้างพื้นฐานที่แข็งแกร่ง แต่การนำ AI สู่ตลาดต้องเร็วและแม่นยำ ⛔ ความล่าช้าอาจทำให้คู่แข่งได้เปรียบในเชิงกลยุทธ์ https://securityonline.info/sundar-pichai-admits-chatgpt-temporarily-surpassed-google-recalls-code-red-moment-at-dreamforce/
    SECURITYONLINE.INFO
    Sundar Pichai Admits ChatGPT Temporarily Surpassed Google, Recalls "Code Red" Moment at Dreamforce
    Google CEO Sundar Pichai admitted at Dreamforce that ChatGPT briefly surpassed Google's AI, but insists the "Code Red" moment fueled the company's long-term pursuit of generative AI innovation.
    0 ความคิดเห็น 0 การแบ่งปัน 161 มุมมอง 0 รีวิว
  • “Micron เตรียมถอนตัวจากตลาดหน่วยความจำศูนย์ข้อมูลในจีน” — เมื่อแรงกดดันจากการแบนในปี 2023 ยังไม่คลี่คลาย

    Micron ผู้ผลิตหน่วยความจำรายใหญ่ของสหรัฐฯ กำลังเตรียมถอนตัวจากตลาดหน่วยความจำสำหรับศูนย์ข้อมูลในจีน หลังจากไม่สามารถฟื้นตัวจากผลกระทบของการแบนในปี 2023 ที่รัฐบาลจีนประกาศห้ามใช้ผลิตภัณฑ์ของ Micron ในโครงสร้างพื้นฐานด้านข้อมูลที่สำคัญ ด้วยเหตุผลด้านความมั่นคง

    ตามรายงานจาก Reuters ที่อ้างแหล่งข่าวภายใน Micron บริษัทมีแผนจะหยุดส่งออกผลิตภัณฑ์ DRAM และหน่วยความจำระดับเซิร์ฟเวอร์ไปยังศูนย์ข้อมูลในจีนโดยตรง แต่จะยังคงให้บริการในกลุ่มสมาร์ตโฟนและยานยนต์ รวมถึงลูกค้าจีนที่มีศูนย์ข้อมูลในต่างประเทศ เช่น Lenovo

    การตัดสินใจนี้สะท้อนถึงผลกระทบระยะยาวจากการแบนของ Cyberspace Administration of China ซึ่งทำให้ Micron สูญเสียโอกาสในโครงการศูนย์ข้อมูลที่ได้รับการสนับสนุนจากรัฐ และเปิดช่องให้ผู้ผลิตในประเทศจีนและเกาหลีใต้เข้ามาแทนที่

    แม้ Samsung และ SK Hynix จะมีโอกาสขยายตลาดในจีน แต่ก็ยังเผชิญกับข้อจำกัดจากนโยบายส่งออกของสหรัฐฯ เช่นเดียวกับ Micron ขณะที่ผู้ผลิตในประเทศจีนอย่าง YMTC และ CXMT ก็เร่งพัฒนาเทคโนโลยีเพื่อทดแทน แม้ยังตามหลังในด้านประสิทธิภาพและคุณภาพ

    Micron เตรียมหยุดส่งออก DRAM และหน่วยความจำเซิร์ฟเวอร์ไปยังศูนย์ข้อมูลในจีน
    ยังคงให้บริการในกลุ่มสมาร์ตโฟนและยานยนต์
    ยังคงให้บริการลูกค้าจีนที่มีศูนย์ข้อมูลในต่างประเทศ

    การแบนในปี 2023 จาก Cyberspace Administration of China เป็นจุดเริ่มต้น
    อ้างเหตุผลด้านความมั่นคงของชาติ

    การแบนทำให้ Micron สูญเสียโอกาสในโครงการศูนย์ข้อมูลที่ได้รับการสนับสนุนจากรัฐ
    ผู้ผลิตในจีนและเกาหลีใต้เข้ามาแทนที่

    Samsung และ SK Hynix อาจได้ประโยชน์จากช่องว่างของ Micron
    แต่ยังเผชิญข้อจำกัดจากนโยบายส่งออกของสหรัฐฯ

    ผู้ผลิตจีนอย่าง YMTC และ CXMT เร่งพัฒนาเทคโนโลยีหน่วยความจำ
    ยังตามหลังในด้านประสิทธิภาพและ yield

    https://www.tomshardware.com/pc-components/ram/reports-suggest-micron-is-preparing-to-exit-chinas-data-center-memory-market
    🇨🇳 “Micron เตรียมถอนตัวจากตลาดหน่วยความจำศูนย์ข้อมูลในจีน” — เมื่อแรงกดดันจากการแบนในปี 2023 ยังไม่คลี่คลาย Micron ผู้ผลิตหน่วยความจำรายใหญ่ของสหรัฐฯ กำลังเตรียมถอนตัวจากตลาดหน่วยความจำสำหรับศูนย์ข้อมูลในจีน หลังจากไม่สามารถฟื้นตัวจากผลกระทบของการแบนในปี 2023 ที่รัฐบาลจีนประกาศห้ามใช้ผลิตภัณฑ์ของ Micron ในโครงสร้างพื้นฐานด้านข้อมูลที่สำคัญ ด้วยเหตุผลด้านความมั่นคง ตามรายงานจาก Reuters ที่อ้างแหล่งข่าวภายใน Micron บริษัทมีแผนจะหยุดส่งออกผลิตภัณฑ์ DRAM และหน่วยความจำระดับเซิร์ฟเวอร์ไปยังศูนย์ข้อมูลในจีนโดยตรง แต่จะยังคงให้บริการในกลุ่มสมาร์ตโฟนและยานยนต์ รวมถึงลูกค้าจีนที่มีศูนย์ข้อมูลในต่างประเทศ เช่น Lenovo การตัดสินใจนี้สะท้อนถึงผลกระทบระยะยาวจากการแบนของ Cyberspace Administration of China ซึ่งทำให้ Micron สูญเสียโอกาสในโครงการศูนย์ข้อมูลที่ได้รับการสนับสนุนจากรัฐ และเปิดช่องให้ผู้ผลิตในประเทศจีนและเกาหลีใต้เข้ามาแทนที่ แม้ Samsung และ SK Hynix จะมีโอกาสขยายตลาดในจีน แต่ก็ยังเผชิญกับข้อจำกัดจากนโยบายส่งออกของสหรัฐฯ เช่นเดียวกับ Micron ขณะที่ผู้ผลิตในประเทศจีนอย่าง YMTC และ CXMT ก็เร่งพัฒนาเทคโนโลยีเพื่อทดแทน แม้ยังตามหลังในด้านประสิทธิภาพและคุณภาพ ✅ Micron เตรียมหยุดส่งออก DRAM และหน่วยความจำเซิร์ฟเวอร์ไปยังศูนย์ข้อมูลในจีน ➡️ ยังคงให้บริการในกลุ่มสมาร์ตโฟนและยานยนต์ ➡️ ยังคงให้บริการลูกค้าจีนที่มีศูนย์ข้อมูลในต่างประเทศ ✅ การแบนในปี 2023 จาก Cyberspace Administration of China เป็นจุดเริ่มต้น ➡️ อ้างเหตุผลด้านความมั่นคงของชาติ ✅ การแบนทำให้ Micron สูญเสียโอกาสในโครงการศูนย์ข้อมูลที่ได้รับการสนับสนุนจากรัฐ ➡️ ผู้ผลิตในจีนและเกาหลีใต้เข้ามาแทนที่ ✅ Samsung และ SK Hynix อาจได้ประโยชน์จากช่องว่างของ Micron ➡️ แต่ยังเผชิญข้อจำกัดจากนโยบายส่งออกของสหรัฐฯ ✅ ผู้ผลิตจีนอย่าง YMTC และ CXMT เร่งพัฒนาเทคโนโลยีหน่วยความจำ ➡️ ยังตามหลังในด้านประสิทธิภาพและ yield https://www.tomshardware.com/pc-components/ram/reports-suggest-micron-is-preparing-to-exit-chinas-data-center-memory-market
    0 ความคิดเห็น 0 การแบ่งปัน 187 มุมมอง 0 รีวิว
  • “Samsung เปิดตัว HBM4E ความเร็วทะลุ 3.25 TB/s” — ก้าวกระโดดครั้งใหญ่ของหน่วยความจำเพื่อ AI ยุคใหม่

    Samsung ประกาศความก้าวหน้าครั้งสำคัญในงาน Open Compute Project (OCP) Global Summit โดยเปิดตัวหน่วยความจำ HBM4E ที่สามารถทำความเร็วได้ถึง 13 Gbps ต่อ stack และให้แบนด์วิดธ์รวมสูงสุด 3.25 TB/s ซึ่งเร็วกว่า HBM3E ถึง 2.5 เท่า

    HBM4E ไม่เพียงแค่เร็วขึ้น แต่ยังมีประสิทธิภาพด้านพลังงานที่ดีกว่าเดิมถึงสองเท่า และใช้เทคโนโลยีการผลิตระดับ 4nm ที่ Samsung สามารถควบคุมได้เองผ่านแผนก foundry ทำให้สามารถกำหนดราคาที่แข่งขันได้เพื่อดึงดูดลูกค้าอย่าง NVIDIA และ AMD

    นอกจากนี้ Samsung ยังพัฒนา HBM4 ที่มีความเร็ว pin speed สูงถึง 11 Gbps ซึ่งเหนือกว่ามาตรฐาน JEDEC และตอบสนองต่อความต้องการของ NVIDIA ที่ต้องการหน่วยความจำความเร็วสูงสำหรับสถาปัตยกรรม Rubin

    Samsung วางแผนเริ่มผลิต HBM4 และ HBM4E ในช่วงต้นปี 2026 ซึ่งจะเป็นจุดเปลี่ยนสำคัญในอุตสาหกรรม AI และการประมวลผลประสิทธิภาพสูง โดยเฉพาะเมื่อคู่แข่งอย่าง SK hynix และ Micron กำลังเผชิญการแข่งขันที่รุนแรงขึ้นเรื่อย ๆ

    ข้อมูลในข่าว
    Samsung เปิดตัว HBM4E ที่มีแบนด์วิดธ์สูงสุด 3.25 TB/s
    ความเร็ว pin speed สูงสุด 13 Gbps ต่อ stack
    เร็วกว่า HBM3E ถึง 2.5 เท่า
    ประสิทธิภาพด้านพลังงานดีกว่าเดิมถึงสองเท่า
    ใช้เทคโนโลยีการผลิต 4nm ที่ Samsung ควบคุมเอง
    ตอบสนองต่อความต้องการของ NVIDIA สำหรับสถาปัตยกรรม Rubin
    HBM4 มีความเร็ว pin speed สูงถึง 11 Gbps
    เริ่มผลิต HBM4 และ HBM4E ในช่วงต้นปี 2026
    Samsung ตั้งเป้าเป็นผู้นำตลาด HBM โดยใช้กลยุทธ์ด้านราคาและเทคโนโลยี
    คู่แข่งอย่าง SK hynix และ Micron เผชิญการแข่งขันที่รุนแรง

    https://wccftech.com/samsung-hbm4e-set-to-deliver-a-significant-bandwidth/
    🚀 “Samsung เปิดตัว HBM4E ความเร็วทะลุ 3.25 TB/s” — ก้าวกระโดดครั้งใหญ่ของหน่วยความจำเพื่อ AI ยุคใหม่ Samsung ประกาศความก้าวหน้าครั้งสำคัญในงาน Open Compute Project (OCP) Global Summit โดยเปิดตัวหน่วยความจำ HBM4E ที่สามารถทำความเร็วได้ถึง 13 Gbps ต่อ stack และให้แบนด์วิดธ์รวมสูงสุด 3.25 TB/s ซึ่งเร็วกว่า HBM3E ถึง 2.5 เท่า HBM4E ไม่เพียงแค่เร็วขึ้น แต่ยังมีประสิทธิภาพด้านพลังงานที่ดีกว่าเดิมถึงสองเท่า และใช้เทคโนโลยีการผลิตระดับ 4nm ที่ Samsung สามารถควบคุมได้เองผ่านแผนก foundry ทำให้สามารถกำหนดราคาที่แข่งขันได้เพื่อดึงดูดลูกค้าอย่าง NVIDIA และ AMD นอกจากนี้ Samsung ยังพัฒนา HBM4 ที่มีความเร็ว pin speed สูงถึง 11 Gbps ซึ่งเหนือกว่ามาตรฐาน JEDEC และตอบสนองต่อความต้องการของ NVIDIA ที่ต้องการหน่วยความจำความเร็วสูงสำหรับสถาปัตยกรรม Rubin Samsung วางแผนเริ่มผลิต HBM4 และ HBM4E ในช่วงต้นปี 2026 ซึ่งจะเป็นจุดเปลี่ยนสำคัญในอุตสาหกรรม AI และการประมวลผลประสิทธิภาพสูง โดยเฉพาะเมื่อคู่แข่งอย่าง SK hynix และ Micron กำลังเผชิญการแข่งขันที่รุนแรงขึ้นเรื่อย ๆ ✅ ข้อมูลในข่าว ➡️ Samsung เปิดตัว HBM4E ที่มีแบนด์วิดธ์สูงสุด 3.25 TB/s ➡️ ความเร็ว pin speed สูงสุด 13 Gbps ต่อ stack ➡️ เร็วกว่า HBM3E ถึง 2.5 เท่า ➡️ ประสิทธิภาพด้านพลังงานดีกว่าเดิมถึงสองเท่า ➡️ ใช้เทคโนโลยีการผลิต 4nm ที่ Samsung ควบคุมเอง ➡️ ตอบสนองต่อความต้องการของ NVIDIA สำหรับสถาปัตยกรรม Rubin ➡️ HBM4 มีความเร็ว pin speed สูงถึง 11 Gbps ➡️ เริ่มผลิต HBM4 และ HBM4E ในช่วงต้นปี 2026 ➡️ Samsung ตั้งเป้าเป็นผู้นำตลาด HBM โดยใช้กลยุทธ์ด้านราคาและเทคโนโลยี ➡️ คู่แข่งอย่าง SK hynix และ Micron เผชิญการแข่งขันที่รุนแรง https://wccftech.com/samsung-hbm4e-set-to-deliver-a-significant-bandwidth/
    WCCFTECH.COM
    Samsung’s HBM4E Set to Deliver 3.25 TB/s Bandwidth; Nearly 2.5× Faster Than HBM3E, Driving AI Computing to New Levels
    Samsung has become one of the first HBM manufacturers to announce progress on HBM4E at the OCP, showcasing significant upgrades.
    0 ความคิดเห็น 0 การแบ่งปัน 172 มุมมอง 0 รีวิว
  • “AI ปลอมเสียงศิลปินดังในคลิปไว้อาลัย Charlie Kirk” — เมื่อ YouTube กลายเป็นเวทีของบทเพลงที่ไม่มีใครร้องจริง

    ผู้ใช้ YouTube หลายคนตกใจเมื่อพบคลิปไว้อาลัย Charlie Kirk นักเคลื่อนไหวฝ่ายขวาที่ถูกลอบสังหาร โดยมีเสียงร้องจากศิลปินดังอย่าง Adele, Ed Sheeran และ Justin Bieber — แต่ทั้งหมดเป็นเสียงที่สร้างจาก AI โดยไม่มีการร้องจริงหรือรับรู้จากเจ้าตัว

    คลิปเหล่านี้ใช้ภาพประกอบที่ดูสมจริง เช่น thumbnails ที่ศิลปินร้องไห้ พร้อมเนื้อเพลงที่แต่งขึ้นจาก prompt เช่น “The angels sing your name” หรือ “Your story’s written in the stars” ซึ่งสร้างความซาบซึ้งให้ผู้ชมจำนวนมาก แม้เสียงจะไม่เหมือนต้นฉบับก็ตาม

    AI music generators อย่าง Suno สามารถสร้างเพลงจากข้อความง่าย ๆ เช่น “ทำเพลงแจ๊สเกี่ยวกับรดน้ำต้นไม้” หรือ “เพลงแนว house เกี่ยวกับลาออกจากงาน” และเมื่อสื่อ AFP ทดสอบด้วย prompt ไว้อาลัยนักเคลื่อนไหว ก็ได้เพลงชื่อ “Star Gone Too Soon” และ “Echoes of a Flame” ภายในไม่กี่วินาที

    แม้ YouTube จะมีนโยบายให้ผู้สร้างเนื้อหาต้องเปิดเผยว่าใช้ AI แต่หลายคลิปกลับซ่อนข้อมูลไว้ในคำอธิบายที่ต้องคลิกขยายถึงจะเห็น

    นักวิจารณ์เช่น Alex Mahadevan จาก Poynter เตือนว่า “อินเทอร์เน็ตที่เคยเต็มไปด้วยคนสร้างสรรค์กำลังถูกแทนที่ด้วย AI slop ที่สร้างเพื่อเงิน” และ Lucas Hansen จาก CivAI เสริมว่า “การลอกเลียนเสียงและภาพควรได้รับการคุ้มครอง แม้จะเป็นคนที่เสียชีวิตแล้วก็ตาม”

    ข้อมูลในข่าว
    คลิปไว้อาลัย Charlie Kirk ใช้เสียง AI ปลอมเป็นศิลปินดัง
    มี thumbnails ปลอม เช่นภาพศิลปินร้องไห้
    เนื้อเพลงสร้างจาก prompt เช่น “Your story’s written in the stars”
    AI music generators อย่าง Suno สร้างเพลงจากข้อความได้ภายในวินาที
    YouTube มีนโยบายให้เปิดเผยการใช้ AI แต่หลายคลิปซ่อนข้อมูลไว้
    คลิปเหล่านี้มีผู้ชมหลายล้านและคอมเมนต์ขอบคุณศิลปินที่ไม่ได้ร้องจริง
    Alex Mahadevan เตือนว่าอินเทอร์เน็ตกำลังถูกแทนที่ด้วย “AI slop”
    Lucas Hansen เสนอให้คุ้มครองเสียงและภาพของบุคคล แม้จะเสียชีวิตแล้ว
    The Velvet Sundown วง AI บน Spotify มีผู้ฟังเกิน 200,000 คน
    Suno เสนอ prompt เช่น “เพลงแจ๊สเกี่ยวกับรดน้ำต้นไม้”

    https://www.thestar.com.my/tech/tech-news/2025/10/17/youtube-users-trip-over-fake-ai-tributes-to-charlie-kirk
    🎤 “AI ปลอมเสียงศิลปินดังในคลิปไว้อาลัย Charlie Kirk” — เมื่อ YouTube กลายเป็นเวทีของบทเพลงที่ไม่มีใครร้องจริง ผู้ใช้ YouTube หลายคนตกใจเมื่อพบคลิปไว้อาลัย Charlie Kirk นักเคลื่อนไหวฝ่ายขวาที่ถูกลอบสังหาร โดยมีเสียงร้องจากศิลปินดังอย่าง Adele, Ed Sheeran และ Justin Bieber — แต่ทั้งหมดเป็นเสียงที่สร้างจาก AI โดยไม่มีการร้องจริงหรือรับรู้จากเจ้าตัว คลิปเหล่านี้ใช้ภาพประกอบที่ดูสมจริง เช่น thumbnails ที่ศิลปินร้องไห้ พร้อมเนื้อเพลงที่แต่งขึ้นจาก prompt เช่น “The angels sing your name” หรือ “Your story’s written in the stars” ซึ่งสร้างความซาบซึ้งให้ผู้ชมจำนวนมาก แม้เสียงจะไม่เหมือนต้นฉบับก็ตาม AI music generators อย่าง Suno สามารถสร้างเพลงจากข้อความง่าย ๆ เช่น “ทำเพลงแจ๊สเกี่ยวกับรดน้ำต้นไม้” หรือ “เพลงแนว house เกี่ยวกับลาออกจากงาน” และเมื่อสื่อ AFP ทดสอบด้วย prompt ไว้อาลัยนักเคลื่อนไหว ก็ได้เพลงชื่อ “Star Gone Too Soon” และ “Echoes of a Flame” ภายในไม่กี่วินาที แม้ YouTube จะมีนโยบายให้ผู้สร้างเนื้อหาต้องเปิดเผยว่าใช้ AI แต่หลายคลิปกลับซ่อนข้อมูลไว้ในคำอธิบายที่ต้องคลิกขยายถึงจะเห็น นักวิจารณ์เช่น Alex Mahadevan จาก Poynter เตือนว่า “อินเทอร์เน็ตที่เคยเต็มไปด้วยคนสร้างสรรค์กำลังถูกแทนที่ด้วย AI slop ที่สร้างเพื่อเงิน” และ Lucas Hansen จาก CivAI เสริมว่า “การลอกเลียนเสียงและภาพควรได้รับการคุ้มครอง แม้จะเป็นคนที่เสียชีวิตแล้วก็ตาม” ✅ ข้อมูลในข่าว ➡️ คลิปไว้อาลัย Charlie Kirk ใช้เสียง AI ปลอมเป็นศิลปินดัง ➡️ มี thumbnails ปลอม เช่นภาพศิลปินร้องไห้ ➡️ เนื้อเพลงสร้างจาก prompt เช่น “Your story’s written in the stars” ➡️ AI music generators อย่าง Suno สร้างเพลงจากข้อความได้ภายในวินาที ➡️ YouTube มีนโยบายให้เปิดเผยการใช้ AI แต่หลายคลิปซ่อนข้อมูลไว้ ➡️ คลิปเหล่านี้มีผู้ชมหลายล้านและคอมเมนต์ขอบคุณศิลปินที่ไม่ได้ร้องจริง ➡️ Alex Mahadevan เตือนว่าอินเทอร์เน็ตกำลังถูกแทนที่ด้วย “AI slop” ➡️ Lucas Hansen เสนอให้คุ้มครองเสียงและภาพของบุคคล แม้จะเสียชีวิตแล้ว ➡️ The Velvet Sundown วง AI บน Spotify มีผู้ฟังเกิน 200,000 คน ➡️ Suno เสนอ prompt เช่น “เพลงแจ๊สเกี่ยวกับรดน้ำต้นไม้” https://www.thestar.com.my/tech/tech-news/2025/10/17/youtube-users-trip-over-fake-ai-tributes-to-charlie-kirk
    WWW.THESTAR.COM.MY
    YouTube users trip over fake AI tributes to Charlie Kirk
    Rapidly evolving artificial intelligence tools can now create songs from simple text prompts, mimicking the voices of celebrity artists to produce tributes or entire performances on demand, often without their knowledge or consent.
    0 ความคิดเห็น 0 การแบ่งปัน 183 มุมมอง 0 รีวิว
  • “AI กำลังกลืนกินโลกของฮาร์ดแวร์” — ประธาน ADATA เตือน: ความต้องการจากดาต้าเซ็นเตอร์อาจทำให้ผู้บริโภคขาดแคลน SSD, DRAM และฮาร์ดดิสก์

    Simon Chen ประธานบริษัท ADATA ผู้ผลิตหน่วยความจำและ SSD รายใหญ่ของโลก ออกมาเตือนว่า ความต้องการฮาร์ดแวร์จากดาต้าเซ็นเตอร์ที่ใช้ AI กำลังเพิ่มขึ้นอย่างรุนแรงจนอาจทำให้เกิดภาวะขาดแคลนในตลาดผู้บริโภค

    Chen ระบุว่าในรอบ 30 ปีของเขาในอุตสาหกรรมนี้ ไม่เคยเห็นการขาดแคลน DRAM, NAND flash และฮาร์ดดิสก์พร้อมกันแบบนี้มาก่อน โดยผู้ผลิตรายใหญ่อย่าง SK hynix, Samsung, Micron, Kioxia และ Western Digital กำลังขายสินค้าส่วนใหญ่ให้กับโครงการ AI ขนาดใหญ่

    ที่น่ากังวลคือ ลูกค้าบางรายหันไปใช้ SSD แทนฮาร์ดดิสก์เพื่อแก้ปัญหาการขาดแคลน ทำให้ความต้องการ NAND flash พุ่งสูงขึ้นไปอีก ADATA จึงต้องออกนโยบาย “ขายอย่างระมัดระวัง” และ “ให้ความสำคัญกับลูกค้าประจำ” เพื่อรักษาสมดุล

    Chen ยังเผยว่า สต็อกของซัพพลายเออร์ upstream เหลือเพียง 2–3 สัปดาห์ จากเดิมที่เคยมีสำรองไว้ 2–3 เดือน ซึ่งสะท้อนถึงความตึงเครียดของห่วงโซ่อุปทาน

    ในปี 2024 ADATA มีส่วนแบ่งตลาด SSD อยู่ที่ 11% และหน่วยความจำ 5% ซึ่งถือว่าไม่น้อยในระดับโลก โดยรายได้ของบริษัทพุ่งสูงสุดในรอบ 15 ปี และราคาชิป DRAM เพิ่มขึ้น 17.1% ส่วน SSD เพิ่มขึ้น 12.7% ในไตรมาสล่าสุด

    Chen เตือนว่า หากผู้บริโภคกำลังลังเลว่าจะซื้ออุปกรณ์อิเล็กทรอนิกส์ใหม่หรือไม่ ควรรีบตัดสินใจ เพราะความต้องการจาก AI อาจทำให้สินค้าขาดตลาดหรือราคาพุ่งในอนาคต

    ข้อมูลในข่าว
    ADATA เตือนว่าความต้องการจาก AI datacenter กำลังทำให้เกิดภาวะขาดแคลนฮาร์ดแวร์
    DRAM, NAND flash และฮาร์ดดิสก์ขาดแคลนพร้อมกันเป็นครั้งแรกในรอบ 30 ปี
    ผู้ผลิตรายใหญ่ขายสินค้าส่วนใหญ่ให้กับโครงการ AI
    ลูกค้าหันมาใช้ SSD แทนฮาร์ดดิสก์ ทำให้ NAND flash ขาดแคลนเพิ่ม
    ADATA ใช้นโยบาย “ขายอย่างระมัดระวัง” และ “ให้ความสำคัญกับลูกค้าประจำ”
    สต็อกของซัพพลายเออร์เหลือเพียง 2–3 สัปดาห์
    ADATA มีส่วนแบ่งตลาด SSD 11% และหน่วยความจำ 5%
    รายได้บริษัทสูงสุดในรอบ 15 ปี
    ราคาชิป DRAM เพิ่มขึ้น 17.1% และ SSD เพิ่มขึ้น 12.7% ในไตรมาสล่าสุด
    Chen แนะนำให้ผู้บริโภครีบซื้ออุปกรณ์ก่อนราคาพุ่ง

    https://www.tomshardware.com/tech-industry/big-tech/adata-chairman-says-ai-datacenters-are-gobbling-up-hard-drives-ssds-and-dram-alike-insatiable-upstream-demand-could-soon-lead-to-consumer-shortages
    💽 “AI กำลังกลืนกินโลกของฮาร์ดแวร์” — ประธาน ADATA เตือน: ความต้องการจากดาต้าเซ็นเตอร์อาจทำให้ผู้บริโภคขาดแคลน SSD, DRAM และฮาร์ดดิสก์ Simon Chen ประธานบริษัท ADATA ผู้ผลิตหน่วยความจำและ SSD รายใหญ่ของโลก ออกมาเตือนว่า ความต้องการฮาร์ดแวร์จากดาต้าเซ็นเตอร์ที่ใช้ AI กำลังเพิ่มขึ้นอย่างรุนแรงจนอาจทำให้เกิดภาวะขาดแคลนในตลาดผู้บริโภค Chen ระบุว่าในรอบ 30 ปีของเขาในอุตสาหกรรมนี้ ไม่เคยเห็นการขาดแคลน DRAM, NAND flash และฮาร์ดดิสก์พร้อมกันแบบนี้มาก่อน โดยผู้ผลิตรายใหญ่อย่าง SK hynix, Samsung, Micron, Kioxia และ Western Digital กำลังขายสินค้าส่วนใหญ่ให้กับโครงการ AI ขนาดใหญ่ ที่น่ากังวลคือ ลูกค้าบางรายหันไปใช้ SSD แทนฮาร์ดดิสก์เพื่อแก้ปัญหาการขาดแคลน ทำให้ความต้องการ NAND flash พุ่งสูงขึ้นไปอีก ADATA จึงต้องออกนโยบาย “ขายอย่างระมัดระวัง” และ “ให้ความสำคัญกับลูกค้าประจำ” เพื่อรักษาสมดุล Chen ยังเผยว่า สต็อกของซัพพลายเออร์ upstream เหลือเพียง 2–3 สัปดาห์ จากเดิมที่เคยมีสำรองไว้ 2–3 เดือน ซึ่งสะท้อนถึงความตึงเครียดของห่วงโซ่อุปทาน ในปี 2024 ADATA มีส่วนแบ่งตลาด SSD อยู่ที่ 11% และหน่วยความจำ 5% ซึ่งถือว่าไม่น้อยในระดับโลก โดยรายได้ของบริษัทพุ่งสูงสุดในรอบ 15 ปี และราคาชิป DRAM เพิ่มขึ้น 17.1% ส่วน SSD เพิ่มขึ้น 12.7% ในไตรมาสล่าสุด Chen เตือนว่า หากผู้บริโภคกำลังลังเลว่าจะซื้ออุปกรณ์อิเล็กทรอนิกส์ใหม่หรือไม่ ควรรีบตัดสินใจ เพราะความต้องการจาก AI อาจทำให้สินค้าขาดตลาดหรือราคาพุ่งในอนาคต ✅ ข้อมูลในข่าว ➡️ ADATA เตือนว่าความต้องการจาก AI datacenter กำลังทำให้เกิดภาวะขาดแคลนฮาร์ดแวร์ ➡️ DRAM, NAND flash และฮาร์ดดิสก์ขาดแคลนพร้อมกันเป็นครั้งแรกในรอบ 30 ปี ➡️ ผู้ผลิตรายใหญ่ขายสินค้าส่วนใหญ่ให้กับโครงการ AI ➡️ ลูกค้าหันมาใช้ SSD แทนฮาร์ดดิสก์ ทำให้ NAND flash ขาดแคลนเพิ่ม ➡️ ADATA ใช้นโยบาย “ขายอย่างระมัดระวัง” และ “ให้ความสำคัญกับลูกค้าประจำ” ➡️ สต็อกของซัพพลายเออร์เหลือเพียง 2–3 สัปดาห์ ➡️ ADATA มีส่วนแบ่งตลาด SSD 11% และหน่วยความจำ 5% ➡️ รายได้บริษัทสูงสุดในรอบ 15 ปี ➡️ ราคาชิป DRAM เพิ่มขึ้น 17.1% และ SSD เพิ่มขึ้น 12.7% ในไตรมาสล่าสุด ➡️ Chen แนะนำให้ผู้บริโภครีบซื้ออุปกรณ์ก่อนราคาพุ่ง https://www.tomshardware.com/tech-industry/big-tech/adata-chairman-says-ai-datacenters-are-gobbling-up-hard-drives-ssds-and-dram-alike-insatiable-upstream-demand-could-soon-lead-to-consumer-shortages
    0 ความคิดเห็น 0 การแบ่งปัน 125 มุมมอง 0 รีวิว
  • “NVIDIA จับมือ Samsung Foundry” — เปิดทางสู่ยุคใหม่ของชิป AI ด้วย NVLink Fusion

    ในงาน OCP Global Summit ล่าสุด NVIDIA ได้ประกาศความร่วมมือกับ Samsung Foundry เพื่อเข้าร่วมในระบบ NVLink Fusion ซึ่งเป็นโครงสร้างพื้นฐานด้านการเชื่อมต่อความเร็วสูงสำหรับศูนย์ข้อมูล AI โดย Samsung จะมีบทบาททั้งด้านการออกแบบและผลิตชิปแบบ custom CPU และ XPU ที่สามารถเชื่อมต่อโดยตรงกับสถาปัตยกรรม MGX และ OCP rack ของ NVIDIA

    NVLink Fusion เป็นเฟรมเวิร์กที่เปิดให้โปรเซสเซอร์จากผู้ผลิตอื่นสามารถเชื่อมต่อกับระบบของ NVIDIA ได้อย่างเต็มรูปแบบ โดยใช้เทคโนโลยี chiplet และ IP ที่รองรับการสื่อสารผ่าน NVLink-C2C ที่มีแบนด์วิดธ์สูงถึง 900 GB/s ซึ่งช่วยให้การเชื่อมต่อระหว่าง CPU และ GPU มีประสิทธิภาพมากขึ้น

    การนำ Samsung เข้ามาในระบบนี้ช่วยลดความเสี่ยงจากการพึ่งพาผู้ผลิตรายเดียว และเปิดทางให้บริษัทต่าง ๆ สามารถพัฒนาโปรเซสเซอร์ที่เหมาะกับงานเฉพาะ เช่นโมเดลภาษาใหญ่ (LLM) และ AI เชิงตัวแทน (agentic AI) ได้รวดเร็วขึ้น

    อย่างไรก็ตาม NVIDIA ยังคงควบคุมซอฟต์แวร์และฮาร์ดแวร์สำคัญที่ใช้ในการจัดการการเชื่อมต่อ เช่น communication controller และ PHY layer รวมถึงการใช้ NVLink Switch chips ที่ต้องได้รับใบอนุญาตจาก NVIDIA ซึ่งหมายความว่าบริษัทอื่นไม่สามารถสร้างระบบที่เป็นอิสระจาก NVIDIA ได้อย่างแท้จริง

    ข้อมูลในข่าว
    NVIDIA ร่วมมือกับ Samsung Foundry ในระบบ NVLink Fusion
    Samsung จะออกแบบและผลิตชิป custom CPU และ XPU สำหรับศูนย์ข้อมูล AI
    NVLink Fusion เป็นเฟรมเวิร์กที่เปิดให้โปรเซสเซอร์จากผู้ผลิตอื่นเชื่อมต่อกับระบบ NVIDIA ได้
    ใช้ NVLink-C2C ที่มีแบนด์วิดธ์สูงถึง 900 GB/s เพื่อเชื่อมต่อ CPU-GPU
    ช่วยลดความเสี่ยงจากการพึ่งพาผู้ผลิตรายเดียว และเร่งการพัฒนาโปรเซสเซอร์เฉพาะทาง
    Samsung เสริมทั้งกำลังการผลิตและบริการออกแบบในระบบ NVLink

    คำเตือนจากข้อมูลข่าว
    ชิปที่พัฒนาในระบบนี้ต้องเชื่อมต่อกับผลิตภัณฑ์ของ NVIDIA เท่านั้น
    NVIDIA ยังคงควบคุมซอฟต์แวร์และฮาร์ดแวร์สำคัญในการจัดการการเชื่อมต่อ
    บริษัทอื่นไม่สามารถสร้างระบบที่เป็นอิสระจาก NVIDIA ได้อย่างแท้จริง
    การใช้ NVLink Switch chips ต้องได้รับใบอนุญาตจาก NVIDIA

    https://www.techpowerup.com/341889/nvidia-taps-samsung-foundry-for-custom-silicon-manufacturing
    🔗 “NVIDIA จับมือ Samsung Foundry” — เปิดทางสู่ยุคใหม่ของชิป AI ด้วย NVLink Fusion ในงาน OCP Global Summit ล่าสุด NVIDIA ได้ประกาศความร่วมมือกับ Samsung Foundry เพื่อเข้าร่วมในระบบ NVLink Fusion ซึ่งเป็นโครงสร้างพื้นฐานด้านการเชื่อมต่อความเร็วสูงสำหรับศูนย์ข้อมูล AI โดย Samsung จะมีบทบาททั้งด้านการออกแบบและผลิตชิปแบบ custom CPU และ XPU ที่สามารถเชื่อมต่อโดยตรงกับสถาปัตยกรรม MGX และ OCP rack ของ NVIDIA NVLink Fusion เป็นเฟรมเวิร์กที่เปิดให้โปรเซสเซอร์จากผู้ผลิตอื่นสามารถเชื่อมต่อกับระบบของ NVIDIA ได้อย่างเต็มรูปแบบ โดยใช้เทคโนโลยี chiplet และ IP ที่รองรับการสื่อสารผ่าน NVLink-C2C ที่มีแบนด์วิดธ์สูงถึง 900 GB/s ซึ่งช่วยให้การเชื่อมต่อระหว่าง CPU และ GPU มีประสิทธิภาพมากขึ้น การนำ Samsung เข้ามาในระบบนี้ช่วยลดความเสี่ยงจากการพึ่งพาผู้ผลิตรายเดียว และเปิดทางให้บริษัทต่าง ๆ สามารถพัฒนาโปรเซสเซอร์ที่เหมาะกับงานเฉพาะ เช่นโมเดลภาษาใหญ่ (LLM) และ AI เชิงตัวแทน (agentic AI) ได้รวดเร็วขึ้น อย่างไรก็ตาม NVIDIA ยังคงควบคุมซอฟต์แวร์และฮาร์ดแวร์สำคัญที่ใช้ในการจัดการการเชื่อมต่อ เช่น communication controller และ PHY layer รวมถึงการใช้ NVLink Switch chips ที่ต้องได้รับใบอนุญาตจาก NVIDIA ซึ่งหมายความว่าบริษัทอื่นไม่สามารถสร้างระบบที่เป็นอิสระจาก NVIDIA ได้อย่างแท้จริง ✅ ข้อมูลในข่าว ➡️ NVIDIA ร่วมมือกับ Samsung Foundry ในระบบ NVLink Fusion ➡️ Samsung จะออกแบบและผลิตชิป custom CPU และ XPU สำหรับศูนย์ข้อมูล AI ➡️ NVLink Fusion เป็นเฟรมเวิร์กที่เปิดให้โปรเซสเซอร์จากผู้ผลิตอื่นเชื่อมต่อกับระบบ NVIDIA ได้ ➡️ ใช้ NVLink-C2C ที่มีแบนด์วิดธ์สูงถึง 900 GB/s เพื่อเชื่อมต่อ CPU-GPU ➡️ ช่วยลดความเสี่ยงจากการพึ่งพาผู้ผลิตรายเดียว และเร่งการพัฒนาโปรเซสเซอร์เฉพาะทาง ➡️ Samsung เสริมทั้งกำลังการผลิตและบริการออกแบบในระบบ NVLink ‼️ คำเตือนจากข้อมูลข่าว ⛔ ชิปที่พัฒนาในระบบนี้ต้องเชื่อมต่อกับผลิตภัณฑ์ของ NVIDIA เท่านั้น ⛔ NVIDIA ยังคงควบคุมซอฟต์แวร์และฮาร์ดแวร์สำคัญในการจัดการการเชื่อมต่อ ⛔ บริษัทอื่นไม่สามารถสร้างระบบที่เป็นอิสระจาก NVIDIA ได้อย่างแท้จริง ⛔ การใช้ NVLink Switch chips ต้องได้รับใบอนุญาตจาก NVIDIA https://www.techpowerup.com/341889/nvidia-taps-samsung-foundry-for-custom-silicon-manufacturing
    WWW.TECHPOWERUP.COM
    NVIDIA Taps Samsung Foundry for Custom Silicon Manufacturing
    NVIDIA announced at the OCP Global Summit that Samsung Foundry is joining its NVLink Fusion ecosystem, bringing Samsung's design and manufacturing muscle into the fold for custom CPUs and XPUs. The partnership positions Samsung to offer end-to-end support. Everything from silicon design and verifica...
    0 ความคิดเห็น 0 การแบ่งปัน 142 มุมมอง 0 รีวิว
  • “Intel 18A เริ่มผลิตก่อน TSMC N2 — ศึกเทคโนโลยีระดับ 2nm เปิดฉากแล้ว”

    Intel ประกาศเริ่มการผลิตเชิงพาณิชย์ของกระบวนการผลิต 18A (1.8nm class) ก่อนคู่แข่งอย่าง TSMC ที่ยังอยู่ในช่วงเตรียมการสำหรับเทคโนโลยี N2 (2nm class) ซึ่งคาดว่าจะเริ่มผลิตได้ในช่วงกลางถึงปลายปี 2026

    Intel 18A ใช้เทคโนโลยี RibbonFET (ทรานซิสเตอร์แบบ Gate-All-Around) และ PowerVia (การจ่ายไฟจากด้านหลังของเวเฟอร์) ซึ่งช่วยลดความต้านทานและเพิ่มประสิทธิภาพการใช้พลังงาน ขณะที่ TSMC N2 ก็จะใช้ GAA เช่นกัน แต่ยังไม่มีการเปิดเผยว่าจะใช้เทคนิคการจ่ายไฟจากด้านหลังหรือไม่

    Intel ตั้งเป้าให้ 18A เป็นกระบวนการผลิตที่ใช้ได้ทั้งกับผลิตภัณฑ์ของตัวเองและลูกค้าภายนอก เช่น MediaTek และ U.S. Department of Defense ซึ่งถือเป็นก้าวสำคัญในการกลับเข้าสู่ตลาด foundry อย่างจริงจัง

    ข้อมูลสำคัญจากข่าว
    Intel เริ่มผลิต 18A ก่อน TSMC N2
    18A ใช้ RibbonFET และ PowerVia เพื่อเพิ่มประสิทธิภาพ
    TSMC N2 จะใช้ GAA แต่ยังไม่ยืนยันเรื่อง PowerVia
    Intel วางแผนให้ 18A รองรับลูกค้าภายนอก เช่น MediaTek
    TSMC N2 คาดว่าจะเริ่มผลิตในปี 2026

    ข้อมูลเสริมจากภายนอก
    RibbonFET เป็นเทคโนโลยี GAA ที่ Intel พัฒนาขึ้นเอง
    PowerVia ช่วยลดความซับซ้อนของการเดินสายไฟด้านหน้าเวเฟอร์
    GAA ช่วยลด leakage current และเพิ่ม density ของทรานซิสเตอร์
    Intel ตั้งเป้าแซง TSMC และ Samsung ในด้านเทคโนโลยีภายในปี 2025
    TSMC N2 จะใช้ในชิปของ Apple, AMD และ NVIDIA เป็นหลัก

    https://www.tomshardware.com/pc-components/cpus/intels-18a-production-starts-before-tsmcs-competing-n2-tech-heres-how-the-two-process-nodes-compare
    ⚙️ “Intel 18A เริ่มผลิตก่อน TSMC N2 — ศึกเทคโนโลยีระดับ 2nm เปิดฉากแล้ว” Intel ประกาศเริ่มการผลิตเชิงพาณิชย์ของกระบวนการผลิต 18A (1.8nm class) ก่อนคู่แข่งอย่าง TSMC ที่ยังอยู่ในช่วงเตรียมการสำหรับเทคโนโลยี N2 (2nm class) ซึ่งคาดว่าจะเริ่มผลิตได้ในช่วงกลางถึงปลายปี 2026 Intel 18A ใช้เทคโนโลยี RibbonFET (ทรานซิสเตอร์แบบ Gate-All-Around) และ PowerVia (การจ่ายไฟจากด้านหลังของเวเฟอร์) ซึ่งช่วยลดความต้านทานและเพิ่มประสิทธิภาพการใช้พลังงาน ขณะที่ TSMC N2 ก็จะใช้ GAA เช่นกัน แต่ยังไม่มีการเปิดเผยว่าจะใช้เทคนิคการจ่ายไฟจากด้านหลังหรือไม่ Intel ตั้งเป้าให้ 18A เป็นกระบวนการผลิตที่ใช้ได้ทั้งกับผลิตภัณฑ์ของตัวเองและลูกค้าภายนอก เช่น MediaTek และ U.S. Department of Defense ซึ่งถือเป็นก้าวสำคัญในการกลับเข้าสู่ตลาด foundry อย่างจริงจัง ✅ ข้อมูลสำคัญจากข่าว ➡️ Intel เริ่มผลิต 18A ก่อน TSMC N2 ➡️ 18A ใช้ RibbonFET และ PowerVia เพื่อเพิ่มประสิทธิภาพ ➡️ TSMC N2 จะใช้ GAA แต่ยังไม่ยืนยันเรื่อง PowerVia ➡️ Intel วางแผนให้ 18A รองรับลูกค้าภายนอก เช่น MediaTek ➡️ TSMC N2 คาดว่าจะเริ่มผลิตในปี 2026 ✅ ข้อมูลเสริมจากภายนอก ➡️ RibbonFET เป็นเทคโนโลยี GAA ที่ Intel พัฒนาขึ้นเอง ➡️ PowerVia ช่วยลดความซับซ้อนของการเดินสายไฟด้านหน้าเวเฟอร์ ➡️ GAA ช่วยลด leakage current และเพิ่ม density ของทรานซิสเตอร์ ➡️ Intel ตั้งเป้าแซง TSMC และ Samsung ในด้านเทคโนโลยีภายในปี 2025 ➡️ TSMC N2 จะใช้ในชิปของ Apple, AMD และ NVIDIA เป็นหลัก https://www.tomshardware.com/pc-components/cpus/intels-18a-production-starts-before-tsmcs-competing-n2-tech-heres-how-the-two-process-nodes-compare
    0 ความคิดเห็น 0 การแบ่งปัน 154 มุมมอง 0 รีวิว
  • “จีนขึ้นบัญชีดำ TechInsights — บริษัทแคนาดาที่เปิดโปง Huawei ใช้เทคโนโลยี TSMC ฝ่าฝืนมาตรการสหรัฐฯ”

    รัฐบาลจีนประกาศขึ้นบัญชีดำบริษัท TechInsights จากแคนาดา โดยระบุว่าเป็น “หน่วยงานที่ไม่น่าเชื่อถือ” หลังจากบริษัทดังกล่าวเปิดเผยข้อมูลสำคัญเกี่ยวกับการใช้เทคโนโลยีจาก TSMC และผู้ผลิตชิปต่างประเทศในผลิตภัณฑ์ของ Huawei แม้จะอยู่ภายใต้ข้อจำกัดการส่งออกจากสหรัฐฯ

    TechInsights เป็นบริษัทวิจัยด้านเซมิคอนดักเตอร์ที่เชี่ยวชาญในการ “แยกชิ้นส่วน” อุปกรณ์อิเล็กทรอนิกส์เพื่อวิเคราะห์โครงสร้างภายใน โดยรายงานล่าสุดของบริษัทพบว่า Huawei ยังคงใช้ชิ้นส่วนจาก TSMC, Samsung และ SK Hynix ในชิป AI รุ่น Ascend 910C ซึ่งขัดกับมาตรการควบคุมการส่งออกของสหรัฐฯ ที่พยายามจำกัดการเข้าถึงเทคโนโลยีขั้นสูงของจีน

    กระทรวงพาณิชย์จีนระบุว่า TechInsights และบริษัทในเครือทั่วโลกจะถูกห้ามไม่ให้ทำธุรกรรมหรือแลกเปลี่ยนข้อมูลกับองค์กรหรือบุคคลในจีน โดยให้เหตุผลว่า TechInsightsมีส่วนร่วมใน “ความร่วมมือทางเทคนิคทางทหารกับไต้หวัน” และ “ให้ข้อมูลที่เป็นอันตรายต่อความมั่นคงของจีน”

    การขึ้นบัญชีดำครั้งนี้เกิดขึ้นเพียงไม่กี่วันหลังจาก TechInsights เผยแพร่รายงานที่แสดงให้เห็นว่า Huawei ยังพึ่งพาเทคโนโลยีจากต่างประเทศ แม้จะพยายามสร้างห่วงโซ่อุปทานภายในประเทศเองก็ตาม

    ข้อมูลสำคัญจากข่าว
    จีนขึ้นบัญชีดำ TechInsights โดยระบุว่าเป็น “หน่วยงานที่ไม่น่าเชื่อถือ”
    TechInsights เปิดเผยว่า Huawei ใช้ชิ้นส่วนจาก TSMC, Samsung และ SK Hynix
    ชิ้นส่วนเหล่านี้ปรากฏในชิป AI รุ่น Ascend 910C ของ Huawei
    การเปิดเผยขัดกับมาตรการควบคุมการส่งออกของสหรัฐฯ
    กระทรวงพาณิชย์จีนห้าม TechInsights ทำธุรกรรมหรือแลกเปลี่ยนข้อมูลกับองค์กรในจีน
    เหตุผลที่จีนให้คือความร่วมมือทางเทคนิคกับไต้หวันและการให้ข้อมูลที่เป็นภัยต่อจีน
    TechInsights เป็นบริษัทวิจัยที่เชี่ยวชาญด้านการแยกชิ้นส่วนอุปกรณ์อิเล็กทรอนิกส์

    ข้อมูลเสริมจากภายนอก
    Huawei ถูกขึ้นบัญชีดำโดยสหรัฐฯ ตั้งแต่ปี 2019
    TSMC และ Samsung อยู่ภายใต้ข้อจำกัดการส่งออกเทคโนโลยีขั้นสูงไปยังจีน
    Ascend 910C เป็นชิป AI ระดับสูงที่ใช้ในงานประมวลผลแบบ deep learning
    การแยกชิ้นส่วน (teardown) เป็นวิธีการวิเคราะห์ที่ใช้ตรวจสอบแหล่งที่มาของชิ้นส่วน
    การควบคุมการส่งออกของสหรัฐฯ มุ่งลดความสามารถของจีนในการพัฒนาเทคโนโลยีทางทหาร

    https://www.tomshardware.com/tech-industry/china-bans-research-company-that-helped-unearth-huaweis-use-of-tsmc-tech-despite-u-s-bans-techinsights-added-to-unreliable-entity-list-by-state-authorities
    🚫 “จีนขึ้นบัญชีดำ TechInsights — บริษัทแคนาดาที่เปิดโปง Huawei ใช้เทคโนโลยี TSMC ฝ่าฝืนมาตรการสหรัฐฯ” รัฐบาลจีนประกาศขึ้นบัญชีดำบริษัท TechInsights จากแคนาดา โดยระบุว่าเป็น “หน่วยงานที่ไม่น่าเชื่อถือ” หลังจากบริษัทดังกล่าวเปิดเผยข้อมูลสำคัญเกี่ยวกับการใช้เทคโนโลยีจาก TSMC และผู้ผลิตชิปต่างประเทศในผลิตภัณฑ์ของ Huawei แม้จะอยู่ภายใต้ข้อจำกัดการส่งออกจากสหรัฐฯ TechInsights เป็นบริษัทวิจัยด้านเซมิคอนดักเตอร์ที่เชี่ยวชาญในการ “แยกชิ้นส่วน” อุปกรณ์อิเล็กทรอนิกส์เพื่อวิเคราะห์โครงสร้างภายใน โดยรายงานล่าสุดของบริษัทพบว่า Huawei ยังคงใช้ชิ้นส่วนจาก TSMC, Samsung และ SK Hynix ในชิป AI รุ่น Ascend 910C ซึ่งขัดกับมาตรการควบคุมการส่งออกของสหรัฐฯ ที่พยายามจำกัดการเข้าถึงเทคโนโลยีขั้นสูงของจีน กระทรวงพาณิชย์จีนระบุว่า TechInsights และบริษัทในเครือทั่วโลกจะถูกห้ามไม่ให้ทำธุรกรรมหรือแลกเปลี่ยนข้อมูลกับองค์กรหรือบุคคลในจีน โดยให้เหตุผลว่า TechInsightsมีส่วนร่วมใน “ความร่วมมือทางเทคนิคทางทหารกับไต้หวัน” และ “ให้ข้อมูลที่เป็นอันตรายต่อความมั่นคงของจีน” การขึ้นบัญชีดำครั้งนี้เกิดขึ้นเพียงไม่กี่วันหลังจาก TechInsights เผยแพร่รายงานที่แสดงให้เห็นว่า Huawei ยังพึ่งพาเทคโนโลยีจากต่างประเทศ แม้จะพยายามสร้างห่วงโซ่อุปทานภายในประเทศเองก็ตาม ✅ ข้อมูลสำคัญจากข่าว ➡️ จีนขึ้นบัญชีดำ TechInsights โดยระบุว่าเป็น “หน่วยงานที่ไม่น่าเชื่อถือ” ➡️ TechInsights เปิดเผยว่า Huawei ใช้ชิ้นส่วนจาก TSMC, Samsung และ SK Hynix ➡️ ชิ้นส่วนเหล่านี้ปรากฏในชิป AI รุ่น Ascend 910C ของ Huawei ➡️ การเปิดเผยขัดกับมาตรการควบคุมการส่งออกของสหรัฐฯ ➡️ กระทรวงพาณิชย์จีนห้าม TechInsights ทำธุรกรรมหรือแลกเปลี่ยนข้อมูลกับองค์กรในจีน ➡️ เหตุผลที่จีนให้คือความร่วมมือทางเทคนิคกับไต้หวันและการให้ข้อมูลที่เป็นภัยต่อจีน ➡️ TechInsights เป็นบริษัทวิจัยที่เชี่ยวชาญด้านการแยกชิ้นส่วนอุปกรณ์อิเล็กทรอนิกส์ ✅ ข้อมูลเสริมจากภายนอก ➡️ Huawei ถูกขึ้นบัญชีดำโดยสหรัฐฯ ตั้งแต่ปี 2019 ➡️ TSMC และ Samsung อยู่ภายใต้ข้อจำกัดการส่งออกเทคโนโลยีขั้นสูงไปยังจีน ➡️ Ascend 910C เป็นชิป AI ระดับสูงที่ใช้ในงานประมวลผลแบบ deep learning ➡️ การแยกชิ้นส่วน (teardown) เป็นวิธีการวิเคราะห์ที่ใช้ตรวจสอบแหล่งที่มาของชิ้นส่วน ➡️ การควบคุมการส่งออกของสหรัฐฯ มุ่งลดความสามารถของจีนในการพัฒนาเทคโนโลยีทางทหาร https://www.tomshardware.com/tech-industry/china-bans-research-company-that-helped-unearth-huaweis-use-of-tsmc-tech-despite-u-s-bans-techinsights-added-to-unreliable-entity-list-by-state-authorities
    0 ความคิดเห็น 0 การแบ่งปัน 219 มุมมอง 0 รีวิว
  • "TSMC ครองตลาดโรงงานผลิตชิปทั่วโลกทะลุ 71% – คู่แข่งแทบไม่มีที่ยืน"

    ลองจินตนาการว่าคุณเป็นผู้บริหารบริษัทเทคโนโลยีที่ต้องเลือกโรงงานผลิตชิปสำหรับผลิตภัณฑ์ใหม่ของคุณในปี 2025 คุณจะเลือกใคร? คำตอบแทบจะชัดเจน: TSMC จากไต้หวัน ซึ่งตอนนี้ครองตลาดโรงงานผลิตชิป (foundry) ทั่วโลกไปแล้วกว่า 71% ในไตรมาสที่ 2 ปี 2025

    การเติบโตของ TSMC ไม่ใช่เรื่องบังเอิญ แต่เป็นผลจากการลงทุนในเทคโนโลยีระดับสูง เช่น กระบวนการผลิต 3nm และ 5nm ที่ตอบโจทย์ความต้องการของลูกค้ารายใหญ่ เช่น Apple, NVIDIA, AMD และ Qualcomm โดยเฉพาะในยุคที่ AI และการประมวลผลขั้นสูง (HPC) กำลังเฟื่องฟู

    รายได้ของ TSMC ในไตรมาสนี้พุ่งขึ้นถึง $30.24 พันล้าน เพิ่มขึ้น 18.5% จากไตรมาสก่อนหน้า ขณะที่คู่แข่งอย่าง Samsung มีส่วนแบ่งเพียง 7.3% และ SMIC จากจีนอยู่ที่ 5.1% เท่านั้น

    สิ่งที่น่าสนใจคือ TSMC ไม่ได้มีแค่เทคโนโลยี แต่ยังมีความไว้วางใจจากลูกค้า ซึ่งเป็นสิ่งที่คู่แข่งยังตามไม่ทัน แม้จะมีการพัฒนาเทคโนโลยีใหม่ ๆ แต่การเปลี่ยนโรงงานผลิตชิปไม่ใช่เรื่องง่าย เพราะต้องปรับทั้งซอฟต์แวร์ ฮาร์ดแวร์ และกระบวนการผลิตทั้งหมด

    TSMC ครองตลาดโรงงานผลิตชิปทั่วโลก
    มีส่วนแบ่งตลาดถึง 71% ในไตรมาส 2 ปี 2025
    รายได้สูงถึง $30.24 พันล้าน เพิ่มขึ้น 18.5% จากไตรมาสก่อน

    เทคโนโลยีระดับสูงคือหัวใจของความสำเร็จ
    ใช้กระบวนการผลิต 3nm และ 5nm ที่ทันสมัย
    รองรับความต้องการของ AI และ HPC อย่างเต็มที่

    ลูกค้ารายใหญ่ไว้วางใจ TSMC
    Apple, NVIDIA, AMD และ Qualcomm เป็นลูกค้าหลัก
    ความเชื่อมั่นในคุณภาพและความเสถียรของการผลิต

    คู่แข่งยังตามไม่ทัน
    Samsung มีส่วนแบ่งเพียง 7.3% และ SMIC อยู่ที่ 5.1%
    ปัญหาด้านเทคโนโลยีและการผลิตยังเป็นอุปสรรค

    คำเตือนสำหรับผู้เล่นรายอื่นในตลาด
    การแข่งขันกับ TSMC ต้องใช้เวลาและเงินลงทุนมหาศาล
    การเปลี่ยนโรงงานผลิตชิปมีความเสี่ยงสูงและต้นทุนแฝง
    หากไม่มีเทคโนโลยีระดับสูงและความไว้วางใจจากลูกค้า อาจถูกเบียดออกจากตลาด

    ในโลกของการผลิตชิปที่เปลี่ยนแปลงอย่างรวดเร็ว TSMC ไม่ได้แค่เป็นผู้นำ แต่กำลังกลายเป็น "มาตรฐานกลาง" ที่ทุกคนต้องเทียบเคียง และถ้าคุณเป็นผู้ผลิตที่ยังไม่ใช้บริการ TSMC ก็อาจต้องถามตัวเองว่า “คุณพร้อมจะเสี่ยงแค่ไหน?”

    https://wccftech.com/tsmc-dominates-global-foundry-market-with-a-jaw-dropping-share/
    🏭 "TSMC ครองตลาดโรงงานผลิตชิปทั่วโลกทะลุ 71% – คู่แข่งแทบไม่มีที่ยืน" ลองจินตนาการว่าคุณเป็นผู้บริหารบริษัทเทคโนโลยีที่ต้องเลือกโรงงานผลิตชิปสำหรับผลิตภัณฑ์ใหม่ของคุณในปี 2025 คุณจะเลือกใคร? คำตอบแทบจะชัดเจน: TSMC จากไต้หวัน ซึ่งตอนนี้ครองตลาดโรงงานผลิตชิป (foundry) ทั่วโลกไปแล้วกว่า 71% ในไตรมาสที่ 2 ปี 2025 การเติบโตของ TSMC ไม่ใช่เรื่องบังเอิญ แต่เป็นผลจากการลงทุนในเทคโนโลยีระดับสูง เช่น กระบวนการผลิต 3nm และ 5nm ที่ตอบโจทย์ความต้องการของลูกค้ารายใหญ่ เช่น Apple, NVIDIA, AMD และ Qualcomm โดยเฉพาะในยุคที่ AI และการประมวลผลขั้นสูง (HPC) กำลังเฟื่องฟู รายได้ของ TSMC ในไตรมาสนี้พุ่งขึ้นถึง $30.24 พันล้าน เพิ่มขึ้น 18.5% จากไตรมาสก่อนหน้า ขณะที่คู่แข่งอย่าง Samsung มีส่วนแบ่งเพียง 7.3% และ SMIC จากจีนอยู่ที่ 5.1% เท่านั้น สิ่งที่น่าสนใจคือ TSMC ไม่ได้มีแค่เทคโนโลยี แต่ยังมีความไว้วางใจจากลูกค้า ซึ่งเป็นสิ่งที่คู่แข่งยังตามไม่ทัน แม้จะมีการพัฒนาเทคโนโลยีใหม่ ๆ แต่การเปลี่ยนโรงงานผลิตชิปไม่ใช่เรื่องง่าย เพราะต้องปรับทั้งซอฟต์แวร์ ฮาร์ดแวร์ และกระบวนการผลิตทั้งหมด ✅ TSMC ครองตลาดโรงงานผลิตชิปทั่วโลก ➡️ มีส่วนแบ่งตลาดถึง 71% ในไตรมาส 2 ปี 2025 ➡️ รายได้สูงถึง $30.24 พันล้าน เพิ่มขึ้น 18.5% จากไตรมาสก่อน ✅ เทคโนโลยีระดับสูงคือหัวใจของความสำเร็จ ➡️ ใช้กระบวนการผลิต 3nm และ 5nm ที่ทันสมัย ➡️ รองรับความต้องการของ AI และ HPC อย่างเต็มที่ ✅ ลูกค้ารายใหญ่ไว้วางใจ TSMC ➡️ Apple, NVIDIA, AMD และ Qualcomm เป็นลูกค้าหลัก ➡️ ความเชื่อมั่นในคุณภาพและความเสถียรของการผลิต ✅ คู่แข่งยังตามไม่ทัน ➡️ Samsung มีส่วนแบ่งเพียง 7.3% และ SMIC อยู่ที่ 5.1% ➡️ ปัญหาด้านเทคโนโลยีและการผลิตยังเป็นอุปสรรค ‼️ คำเตือนสำหรับผู้เล่นรายอื่นในตลาด ⛔ การแข่งขันกับ TSMC ต้องใช้เวลาและเงินลงทุนมหาศาล ⛔ การเปลี่ยนโรงงานผลิตชิปมีความเสี่ยงสูงและต้นทุนแฝง ⛔ หากไม่มีเทคโนโลยีระดับสูงและความไว้วางใจจากลูกค้า อาจถูกเบียดออกจากตลาด ในโลกของการผลิตชิปที่เปลี่ยนแปลงอย่างรวดเร็ว TSMC ไม่ได้แค่เป็นผู้นำ แต่กำลังกลายเป็น "มาตรฐานกลาง" ที่ทุกคนต้องเทียบเคียง และถ้าคุณเป็นผู้ผลิตที่ยังไม่ใช้บริการ TSMC ก็อาจต้องถามตัวเองว่า “คุณพร้อมจะเสี่ยงแค่ไหน?” https://wccftech.com/tsmc-dominates-global-foundry-market-with-a-jaw-dropping-share/
    WCCFTECH.COM
    TSMC Dominates Global Foundry Market With a 'Jaw-Dropping' 71% Share, Leaving Rivals Little Room to Compete
    TSMC's market share in the foundry business shows that the Taiwan giant has created a 'monopoly' over the markets.
    0 ความคิดเห็น 0 การแบ่งปัน 170 มุมมอง 0 รีวิว
  • “Snapdragon 8 Elite Gen 5 แพงขึ้น 27% — สมาร์ตโฟนเรือธงปี 2026 อาจต้องจ่ายแพงกว่าเดิมหลายพันบาท”

    Qualcomm กำลังเผชิญกับต้นทุนการผลิตที่สูงขึ้นอย่างมากจากการใช้เทคโนโลยี 3nm N3P ของ TSMC ในชิปเซ็ตรุ่นใหม่ Snapdragon 8 Elite Gen 5 ซึ่งถูกประเมินว่ามีราคาต่อหน่วยอยู่ระหว่าง $240 ถึง $280 เพิ่มขึ้นถึง 27% จากรุ่นก่อนหน้าอย่าง Snapdragon 8 Elite ที่อยู่ที่ประมาณ $220

    การเปลี่ยนมาใช้กระบวนการผลิตระดับ 3nm ช่วยเพิ่มประสิทธิภาพและลดการใช้พลังงาน แต่ก็มาพร้อมกับต้นทุนที่สูงขึ้น โดยเฉพาะเมื่อ TSMC ขึ้นราคาวาฟเฟอร์ 3nm N3P เป็น $27,000 ต่อแผ่น และมีแนวโน้มจะขึ้นอีกในอนาคต

    ผลกระทบที่ตามมาคือ ผู้ผลิตสมาร์ตโฟนที่ใช้ชิป Snapdragon 8 Elite Gen 5 อาจต้องปรับราคาขายให้สูงขึ้น หรือเลือกลดฟีเจอร์บางอย่างเพื่อควบคุมต้นทุน โดยเฉพาะแบรนด์ที่สั่งผลิตในปริมาณน้อยจะได้รับส่วนลดน้อยกว่ารายใหญ่ เช่น Samsung ที่มีอำนาจต่อรองสูงกว่า

    Qualcomm ยังเตรียมเปิดตัว Snapdragon 8 Elite Gen 6 ในปี 2026 ซึ่งจะใช้กระบวนการผลิต 2nm ของ TSMC ที่มีต้นทุนสูงกว่าเดิมอีก ทำให้ราคาชิปอาจทะลุ $300 หรือมากกว่านั้น และส่งผลให้สมาร์ตโฟนเรือธงในปี 2027 มีราคาสูงขึ้นอย่างต่อเนื่อง

    ข้อมูลสำคัญจากข่าว
    Snapdragon 8 Elite Gen 5 มีราคาต่อหน่วย $240–$280
    เพิ่มขึ้น 27% จาก Snapdragon 8 Elite รุ่นก่อนหน้
    ใช้เทคโนโลยี 3nm N3P ของ TSMC ที่มีต้นทุนสูง
    วาฟเฟอร์ 3nm N3P มีราคาประมาณ $27,000 ต่อแผ่น
    ผู้ผลิตที่สั่งผลิตน้อยจะได้รับส่วนลดน้อยกว่ารายใหญ่
    Qualcomm เตรียมใช้ 2nm สำหรับ Snapdragon 8 Elite Gen 6
    ราคาชิป Gen 6 อาจทะลุ $300–$400 ต่อหน่วย
    Samsung อาจได้ส่วนลดมากกว่าคู่แข่งเพราะยอดผลิตสูง

    ข้อมูลเสริมจากภายนอก
    ชิป 3nm ช่วยเพิ่มประสิทธิภาพและลดการใช้พลังงาน
    TSMC เป็นผู้ผลิตชิปอันดับหนึ่งของโลก มีลูกค้าหลักคือ Apple, Qualcomm, MediaTek
    การขึ้นราคาวาฟเฟอร์เกิดจากต้นทุน R&D และเครื่อง EUV ที่แพงมาก
    การใช้ 2nm จะช่วยเพิ่ม density ของทรานซิสเตอร์และลดขนาดชิป
    สมาร์ตโฟนเรือธงในปี 2026–2027 อาจมีราคาสูงขึ้นอย่างต่อเนื่อง

    คำเตือนและข้อจำกัด
    ราคาชิปที่สูงขึ้นอาจทำให้สมาร์ตโฟนเรือธงแพงเกินเอื้อมสำหรับผู้บริโภคทั่วไป
    ผู้ผลิตอาจลดฟีเจอร์หรือคุณภาพวัสดุเพื่อควบคุมต้นทุน
    การพึ่งพา TSMC เพียงรายเดียวทำให้ Qualcomm เสี่ยงต่อการขึ้นราคาแบบไม่มีทางเลือก
    การเปลี่ยนไปใช้ 2nm อาจทำให้เกิดความล่าช้าในการผลิตหาก yield ยังไม่เสถียร
    ผู้บริโภคอาจต้องจ่ายแพงขึ้นโดยไม่ได้รับฟีเจอร์ใหม่ที่คุ้มค่าเท่าที่ควร

    https://wccftech.com/snapdragon-8-elite-gen-5-price-per-unit-significantly-higher-than-snapdragon-8-elite/
    📱 “Snapdragon 8 Elite Gen 5 แพงขึ้น 27% — สมาร์ตโฟนเรือธงปี 2026 อาจต้องจ่ายแพงกว่าเดิมหลายพันบาท” Qualcomm กำลังเผชิญกับต้นทุนการผลิตที่สูงขึ้นอย่างมากจากการใช้เทคโนโลยี 3nm N3P ของ TSMC ในชิปเซ็ตรุ่นใหม่ Snapdragon 8 Elite Gen 5 ซึ่งถูกประเมินว่ามีราคาต่อหน่วยอยู่ระหว่าง $240 ถึง $280 เพิ่มขึ้นถึง 27% จากรุ่นก่อนหน้าอย่าง Snapdragon 8 Elite ที่อยู่ที่ประมาณ $220 การเปลี่ยนมาใช้กระบวนการผลิตระดับ 3nm ช่วยเพิ่มประสิทธิภาพและลดการใช้พลังงาน แต่ก็มาพร้อมกับต้นทุนที่สูงขึ้น โดยเฉพาะเมื่อ TSMC ขึ้นราคาวาฟเฟอร์ 3nm N3P เป็น $27,000 ต่อแผ่น และมีแนวโน้มจะขึ้นอีกในอนาคต ผลกระทบที่ตามมาคือ ผู้ผลิตสมาร์ตโฟนที่ใช้ชิป Snapdragon 8 Elite Gen 5 อาจต้องปรับราคาขายให้สูงขึ้น หรือเลือกลดฟีเจอร์บางอย่างเพื่อควบคุมต้นทุน โดยเฉพาะแบรนด์ที่สั่งผลิตในปริมาณน้อยจะได้รับส่วนลดน้อยกว่ารายใหญ่ เช่น Samsung ที่มีอำนาจต่อรองสูงกว่า Qualcomm ยังเตรียมเปิดตัว Snapdragon 8 Elite Gen 6 ในปี 2026 ซึ่งจะใช้กระบวนการผลิต 2nm ของ TSMC ที่มีต้นทุนสูงกว่าเดิมอีก ทำให้ราคาชิปอาจทะลุ $300 หรือมากกว่านั้น และส่งผลให้สมาร์ตโฟนเรือธงในปี 2027 มีราคาสูงขึ้นอย่างต่อเนื่อง ✅ ข้อมูลสำคัญจากข่าว ➡️ Snapdragon 8 Elite Gen 5 มีราคาต่อหน่วย $240–$280 ➡️ เพิ่มขึ้น 27% จาก Snapdragon 8 Elite รุ่นก่อนหน้ ➡️ ใช้เทคโนโลยี 3nm N3P ของ TSMC ที่มีต้นทุนสูง ➡️ วาฟเฟอร์ 3nm N3P มีราคาประมาณ $27,000 ต่อแผ่น ➡️ ผู้ผลิตที่สั่งผลิตน้อยจะได้รับส่วนลดน้อยกว่ารายใหญ่ ➡️ Qualcomm เตรียมใช้ 2nm สำหรับ Snapdragon 8 Elite Gen 6 ➡️ ราคาชิป Gen 6 อาจทะลุ $300–$400 ต่อหน่วย ➡️ Samsung อาจได้ส่วนลดมากกว่าคู่แข่งเพราะยอดผลิตสูง ✅ ข้อมูลเสริมจากภายนอก ➡️ ชิป 3nm ช่วยเพิ่มประสิทธิภาพและลดการใช้พลังงาน ➡️ TSMC เป็นผู้ผลิตชิปอันดับหนึ่งของโลก มีลูกค้าหลักคือ Apple, Qualcomm, MediaTek ➡️ การขึ้นราคาวาฟเฟอร์เกิดจากต้นทุน R&D และเครื่อง EUV ที่แพงมาก ➡️ การใช้ 2nm จะช่วยเพิ่ม density ของทรานซิสเตอร์และลดขนาดชิป ➡️ สมาร์ตโฟนเรือธงในปี 2026–2027 อาจมีราคาสูงขึ้นอย่างต่อเนื่อง ‼️ คำเตือนและข้อจำกัด ⛔ ราคาชิปที่สูงขึ้นอาจทำให้สมาร์ตโฟนเรือธงแพงเกินเอื้อมสำหรับผู้บริโภคทั่วไป ⛔ ผู้ผลิตอาจลดฟีเจอร์หรือคุณภาพวัสดุเพื่อควบคุมต้นทุน ⛔ การพึ่งพา TSMC เพียงรายเดียวทำให้ Qualcomm เสี่ยงต่อการขึ้นราคาแบบไม่มีทางเลือก ⛔ การเปลี่ยนไปใช้ 2nm อาจทำให้เกิดความล่าช้าในการผลิตหาก yield ยังไม่เสถียร ⛔ ผู้บริโภคอาจต้องจ่ายแพงขึ้นโดยไม่ได้รับฟีเจอร์ใหม่ที่คุ้มค่าเท่าที่ควร https://wccftech.com/snapdragon-8-elite-gen-5-price-per-unit-significantly-higher-than-snapdragon-8-elite/
    WCCFTECH.COM
    Snapdragon 8 Elite Gen 5 Price Per Unit Estimate Will Definitely Make You Question Your Upcoming Android Flagship Purchase
    Qualcomm’s Snapdragon 8 Elite Gen 5 is estimated to be more expensive than the Snapdragon 8 Elite, potentially increasing smartphone prices
    0 ความคิดเห็น 0 การแบ่งปัน 125 มุมมอง 0 รีวิว
  • “Intel 18A พร้อมลุยผลิตจริง! บรรลุสถิติใหม่ด้านความแม่นยำ เตรียมท้าชน TSMC และ Samsung ในสนาม 2nm”

    ในงาน Intel Tech Tour ล่าสุด Intel ได้ประกาศความสำเร็จครั้งสำคัญของกระบวนการผลิตชิปรุ่นใหม่ “18A” (1.8 นาโนเมตร) ซึ่งสามารถลด “defect density” หรือความหนาแน่นของข้อบกพร่องในเวเฟอร์ลงสู่ระดับต่ำที่สุดในประวัติศาสตร์ของบริษัท ถือเป็นสัญญาณชัดเจนว่า Intel พร้อมเข้าสู่การผลิตในระดับปริมาณมาก (volume production) ภายในไตรมาส 4 ปี 2025

    Defect density คือจำนวนข้อบกพร่องต่อพื้นที่ของเวเฟอร์ ซึ่งมีผลโดยตรงต่อ “yield rate” หรืออัตราการผลิตชิปที่ใช้งานได้จริง หาก defect สูง ยิ่งทำให้ต้นทุนต่อชิปเพิ่มขึ้น และลดความสามารถในการแข่งขัน โดยเฉพาะในยุคที่ชิปมีขนาดใหญ่และซับซ้อนมากขึ้น เช่น ชิปสำหรับ AI และ HPC (High Performance Computing)

    ก่อนหน้านี้ มีรายงานว่า yield ของ 18A ต่ำเพียง 10% แต่ปัจจุบัน Intel ยืนยันว่าตัวเลขดังกล่าวไม่เป็นความจริง และบริษัทได้ปรับปรุงกระบวนการจนสามารถลด defect ได้อย่างมีนัยสำคัญ ทำให้มั่นใจว่าจะสามารถผลิตชิป 18A ได้ในปริมาณมากภายในสิ้นปีนี้

    แม้ defect density จะเป็นเพียงหนึ่งในหลายปัจจัยที่กำหนดความสำเร็จของกระบวนการผลิต เช่น ความแม่นยำของหน้ากาก (mask error), ความลื่นไหลของกระบวนการ และอัตราความล้มเหลวของพารามิเตอร์ แต่การที่ Intel สามารถลด defect ได้มากขนาดนี้ ทำให้ 18A กลายเป็นคู่แข่งที่น่ากลัวของ TSMC N2 และ Samsung SF2 ซึ่งเป็นกระบวนการระดับ 2nm เช่นกัน

    ข้อมูลสำคัญจากข่าว
    Intel ประกาศว่า 18A มี defect density ต่ำที่สุดในประวัติศาสตร์ของบริษัท
    เตรียมเข้าสู่การผลิตระดับปริมาณมากในไตรมาส 4 ปี 2025
    Defect density ต่ำหมายถึง yield rate สูงขึ้น และต้นทุนต่อชิปลดลง
    18A ถูกออกแบบมาเพื่อรองรับชิปขนาดใหญ่ เช่น สำหรับ HPC และ AI
    Intel ปฏิเสธข่าวลือว่า yield ต่ำเพียง 10% โดยระบุว่าตัวเลขดังกล่าวไม่เป็นจริง
    18A มีเป้าหมายแข่งขันกับ TSMC N2 และ Samsung SF2
    Defect density เป็นตัวชี้วัดสำคัญในการประเมินความพร้อมของกระบวนการผลิต

    ข้อมูลเสริมจากภายนอก
    18A ใช้เทคโนโลยี RibbonFET (GAA) และ PowerVia (backside power delivery)
    TSMC N2 มี yield ประมาณ 65% และใช้เทคโนโลยี nanosheet GAA
    Samsung SF2 มี yield ประมาณ 40% และยังไม่พร้อมผลิตจำนวนมากจนถึงปี 2026
    Intel วางแผนใช้ 18A กับชิปรุ่น Panther Lake (Core Ultra 300)
    ตลาดเป้าหมายของ 18A คือ HPC, AI, และลูกค้า Foundry ภายนอก เช่น Qualcomm

    https://wccftech.com/intel-18a-node-achieves-record-low-defect-density/
    🔬 “Intel 18A พร้อมลุยผลิตจริง! บรรลุสถิติใหม่ด้านความแม่นยำ เตรียมท้าชน TSMC และ Samsung ในสนาม 2nm” ในงาน Intel Tech Tour ล่าสุด Intel ได้ประกาศความสำเร็จครั้งสำคัญของกระบวนการผลิตชิปรุ่นใหม่ “18A” (1.8 นาโนเมตร) ซึ่งสามารถลด “defect density” หรือความหนาแน่นของข้อบกพร่องในเวเฟอร์ลงสู่ระดับต่ำที่สุดในประวัติศาสตร์ของบริษัท ถือเป็นสัญญาณชัดเจนว่า Intel พร้อมเข้าสู่การผลิตในระดับปริมาณมาก (volume production) ภายในไตรมาส 4 ปี 2025 Defect density คือจำนวนข้อบกพร่องต่อพื้นที่ของเวเฟอร์ ซึ่งมีผลโดยตรงต่อ “yield rate” หรืออัตราการผลิตชิปที่ใช้งานได้จริง หาก defect สูง ยิ่งทำให้ต้นทุนต่อชิปเพิ่มขึ้น และลดความสามารถในการแข่งขัน โดยเฉพาะในยุคที่ชิปมีขนาดใหญ่และซับซ้อนมากขึ้น เช่น ชิปสำหรับ AI และ HPC (High Performance Computing) ก่อนหน้านี้ มีรายงานว่า yield ของ 18A ต่ำเพียง 10% แต่ปัจจุบัน Intel ยืนยันว่าตัวเลขดังกล่าวไม่เป็นความจริง และบริษัทได้ปรับปรุงกระบวนการจนสามารถลด defect ได้อย่างมีนัยสำคัญ ทำให้มั่นใจว่าจะสามารถผลิตชิป 18A ได้ในปริมาณมากภายในสิ้นปีนี้ แม้ defect density จะเป็นเพียงหนึ่งในหลายปัจจัยที่กำหนดความสำเร็จของกระบวนการผลิต เช่น ความแม่นยำของหน้ากาก (mask error), ความลื่นไหลของกระบวนการ และอัตราความล้มเหลวของพารามิเตอร์ แต่การที่ Intel สามารถลด defect ได้มากขนาดนี้ ทำให้ 18A กลายเป็นคู่แข่งที่น่ากลัวของ TSMC N2 และ Samsung SF2 ซึ่งเป็นกระบวนการระดับ 2nm เช่นกัน ✅ ข้อมูลสำคัญจากข่าว ➡️ Intel ประกาศว่า 18A มี defect density ต่ำที่สุดในประวัติศาสตร์ของบริษัท ➡️ เตรียมเข้าสู่การผลิตระดับปริมาณมากในไตรมาส 4 ปี 2025 ➡️ Defect density ต่ำหมายถึง yield rate สูงขึ้น และต้นทุนต่อชิปลดลง ➡️ 18A ถูกออกแบบมาเพื่อรองรับชิปขนาดใหญ่ เช่น สำหรับ HPC และ AI ➡️ Intel ปฏิเสธข่าวลือว่า yield ต่ำเพียง 10% โดยระบุว่าตัวเลขดังกล่าวไม่เป็นจริง ➡️ 18A มีเป้าหมายแข่งขันกับ TSMC N2 และ Samsung SF2 ➡️ Defect density เป็นตัวชี้วัดสำคัญในการประเมินความพร้อมของกระบวนการผลิต ✅ ข้อมูลเสริมจากภายนอก ➡️ 18A ใช้เทคโนโลยี RibbonFET (GAA) และ PowerVia (backside power delivery) ➡️ TSMC N2 มี yield ประมาณ 65% และใช้เทคโนโลยี nanosheet GAA ➡️ Samsung SF2 มี yield ประมาณ 40% และยังไม่พร้อมผลิตจำนวนมากจนถึงปี 2026 ➡️ Intel วางแผนใช้ 18A กับชิปรุ่น Panther Lake (Core Ultra 300) ➡️ ตลาดเป้าหมายของ 18A คือ HPC, AI, และลูกค้า Foundry ภายนอก เช่น Qualcomm https://wccftech.com/intel-18a-node-achieves-record-low-defect-density/
    WCCFTECH.COM
    Intel’s 'Highly-Anticipated' 18A Node Achieves Record-Low Defect Density, Signaling Readiness for Internal & External Customers
    Intel has revealed progress around the 18A chip at the Tech Tour, and the process is at an all-time low in defect density.
    0 ความคิดเห็น 0 การแบ่งปัน 217 มุมมอง 0 รีวิว
Pages Boosts