• Intel เตรียมเปิดตัว Nova Lake – สถาปัตยกรรมใหม่พร้อมซอฟต์แวร์อัปเกรดครั้งใหญ่ และชิป Panther Lake รุ่นแรกภายในปีนี้

    Intel กำลังเตรียมเปิดตัวสถาปัตยกรรมใหม่ชื่อว่า “Nova Lake” ซึ่งจะเป็นก้าวสำคัญในยุคหลัง Meteor Lake และ Lunar Lake โดย Nova Lake จะมาพร้อมการออกแบบใหม่หมดทั้งฝั่ง CPU และ GPU รวมถึงการอัปเกรดซอฟต์แวร์ครั้งใหญ่เพื่อรองรับการประมวลผลแบบ AI และการใช้งานที่หลากหลายมากขึ้น

    Nova Lake จะใช้เทคโนโลยีการผลิต Intel 18A ซึ่งเป็นกระบวนการระดับ 1.8 นาโนเมตร และจะเริ่มใช้ในผลิตภัณฑ์ฝั่ง Client และ Server ตั้งแต่ปี 2026 เป็นต้นไป โดยจะมีการเปิดตัวชิป Panther Lake รุ่นแรกภายในปีนี้ ซึ่งเป็นการเริ่มต้นของไลน์ผลิตภัณฑ์ใหม่ที่ใช้เทคโนโลยี 18A

    Intel ยังเน้นการพัฒนา “ซอฟต์แวร์ที่ปรับแต่งสำหรับสถาปัตยกรรมใหม่” เพื่อให้สามารถใช้ประโยชน์จากฮาร์ดแวร์ได้เต็มที่ โดยเฉพาะในด้าน AI, การประมวลผลแบบ edge และการใช้งานในระบบคลาวด์ ซึ่งจะเป็นหัวใจสำคัญของผลิตภัณฑ์ในยุค Nova Lake

    การเปิดตัวสถาปัตยกรรม Nova Lake
    เป็นสถาปัตยกรรมใหม่หลัง Meteor Lake และ Lunar Lake
    ออกแบบใหม่ทั้ง CPU และ GPU
    รองรับการประมวลผล AI และ edge computing
    ใช้เทคโนโลยีการผลิต Intel 18A (1.8nm)

    การเปิดตัวชิป Panther Lake
    เป็นชิปรุ่นแรกในไลน์ Nova Lake
    เตรียมเปิดตัวภายในปีนี้
    ใช้เทคโนโลยี 18A และรองรับซอฟต์แวร์ใหม่ของ Intel

    การอัปเกรดซอฟต์แวร์
    Intel พัฒนา software stack ใหม่เพื่อรองรับ Nova Lake
    เน้นการใช้งานด้าน AI, คลาวด์ และ edge computing
    ช่วยให้ฮาร์ดแวร์ทำงานได้เต็มประสิทธิภาพ

    ข้อควรระวังและความท้าทาย
    การเปลี่ยนสถาปัตยกรรมอาจต้องใช้เวลาในการปรับ ecosystem
    ซอฟต์แวร์เก่าอาจไม่รองรับฟีเจอร์ใหม่ของ Nova Lake
    การผลิตด้วยเทคโนโลยี 18A ต้องใช้ความแม่นยำสูงและต้นทุนมาก
    การแข่งขันกับ AMD และ ARM ยังคงเป็นแรงกดดันต่อ Intel

    https://wccftech.com/intel-nova-lake-cpus-new-architecture-software-upgrades-first-panther-lake-skus-this-year-18a-next-three-client-server-products/
    ⚙️ Intel เตรียมเปิดตัว Nova Lake – สถาปัตยกรรมใหม่พร้อมซอฟต์แวร์อัปเกรดครั้งใหญ่ และชิป Panther Lake รุ่นแรกภายในปีนี้ Intel กำลังเตรียมเปิดตัวสถาปัตยกรรมใหม่ชื่อว่า “Nova Lake” ซึ่งจะเป็นก้าวสำคัญในยุคหลัง Meteor Lake และ Lunar Lake โดย Nova Lake จะมาพร้อมการออกแบบใหม่หมดทั้งฝั่ง CPU และ GPU รวมถึงการอัปเกรดซอฟต์แวร์ครั้งใหญ่เพื่อรองรับการประมวลผลแบบ AI และการใช้งานที่หลากหลายมากขึ้น Nova Lake จะใช้เทคโนโลยีการผลิต Intel 18A ซึ่งเป็นกระบวนการระดับ 1.8 นาโนเมตร และจะเริ่มใช้ในผลิตภัณฑ์ฝั่ง Client และ Server ตั้งแต่ปี 2026 เป็นต้นไป โดยจะมีการเปิดตัวชิป Panther Lake รุ่นแรกภายในปีนี้ ซึ่งเป็นการเริ่มต้นของไลน์ผลิตภัณฑ์ใหม่ที่ใช้เทคโนโลยี 18A Intel ยังเน้นการพัฒนา “ซอฟต์แวร์ที่ปรับแต่งสำหรับสถาปัตยกรรมใหม่” เพื่อให้สามารถใช้ประโยชน์จากฮาร์ดแวร์ได้เต็มที่ โดยเฉพาะในด้าน AI, การประมวลผลแบบ edge และการใช้งานในระบบคลาวด์ ซึ่งจะเป็นหัวใจสำคัญของผลิตภัณฑ์ในยุค Nova Lake ✅ การเปิดตัวสถาปัตยกรรม Nova Lake ➡️ เป็นสถาปัตยกรรมใหม่หลัง Meteor Lake และ Lunar Lake ➡️ ออกแบบใหม่ทั้ง CPU และ GPU ➡️ รองรับการประมวลผล AI และ edge computing ➡️ ใช้เทคโนโลยีการผลิต Intel 18A (1.8nm) ✅ การเปิดตัวชิป Panther Lake ➡️ เป็นชิปรุ่นแรกในไลน์ Nova Lake ➡️ เตรียมเปิดตัวภายในปีนี้ ➡️ ใช้เทคโนโลยี 18A และรองรับซอฟต์แวร์ใหม่ของ Intel ✅ การอัปเกรดซอฟต์แวร์ ➡️ Intel พัฒนา software stack ใหม่เพื่อรองรับ Nova Lake ➡️ เน้นการใช้งานด้าน AI, คลาวด์ และ edge computing ➡️ ช่วยให้ฮาร์ดแวร์ทำงานได้เต็มประสิทธิภาพ ‼️ ข้อควรระวังและความท้าทาย ⛔ การเปลี่ยนสถาปัตยกรรมอาจต้องใช้เวลาในการปรับ ecosystem ⛔ ซอฟต์แวร์เก่าอาจไม่รองรับฟีเจอร์ใหม่ของ Nova Lake ⛔ การผลิตด้วยเทคโนโลยี 18A ต้องใช้ความแม่นยำสูงและต้นทุนมาก ⛔ การแข่งขันกับ AMD และ ARM ยังคงเป็นแรงกดดันต่อ Intel https://wccftech.com/intel-nova-lake-cpus-new-architecture-software-upgrades-first-panther-lake-skus-this-year-18a-next-three-client-server-products/
    WCCFTECH.COM
    Intel Nova Lake CPUs Bring New Architecture & Software Upgrades, First Panther Lake SKUs This Year, 18A To Cover At least Next-Three Client & Server Products
    Intel talked about its upcoming Panther Lake, Nova Lake, Coral Rapids CPUs, and its Foundry plans involving 18A & 14A during its Q3 call.
    0 Comments 0 Shares 82 Views 0 Reviews
  • Tesla เปิดตัวชิป AI5 รุ่นใหม่ – เร็วกว่าเดิม 40 เท่า พร้อมผลิตโดย Samsung และ TSMC

    Elon Musk ประกาศว่า Tesla ได้พัฒนาชิป AI5 รุ่นใหม่สำหรับระบบปัญญาประดิษฐ์ในรถยนต์ โดยมีประสิทธิภาพสูงกว่ารุ่นก่อนถึง 40 เท่า! ชิปนี้จะถูกผลิตโดยสองยักษ์ใหญ่ในวงการเซมิคอนดักเตอร์คือ Samsung และ TSMC ซึ่งถือเป็นการยกระดับเทคโนโลยีของ Tesla ไปอีกขั้น

    ชิป AI5 รุ่นใหม่นี้จะถูกนำไปใช้ในระบบ Full Self-Driving (FSD) และ Dojo ซึ่งเป็นแพลตฟอร์มฝึกโมเดล AI ของ Tesla โดยเน้นการประมวลผลแบบ edge computing ที่สามารถทำงานได้โดยไม่ต้องพึ่งพา cloud ตลอดเวลา ชิปนี้ยังถูกออกแบบให้รองรับการประมวลผลแบบ real-time สำหรับการขับขี่อัตโนมัติ และการวิเคราะห์ภาพจากกล้องหลายตัวในรถ

    การร่วมมือกับ Samsung และ TSMC ไม่เพียงแต่ช่วยให้ Tesla สามารถผลิตชิปได้ในปริมาณมาก แต่ยังเป็นการกระจายความเสี่ยงด้าน supply chain ในช่วงที่อุตสาหกรรมเซมิคอนดักเตอร์ทั่วโลกยังเผชิญกับความไม่แน่นอน

    การเปิดตัวชิป AI5 รุ่นใหม่ของ Tesla
    มีประสิทธิภาพสูงกว่ารุ่นก่อนถึง 40 เท่า
    ใช้ในระบบ Full Self-Driving (FSD) และ Dojo
    รองรับการประมวลผลแบบ edge computing และ real-time
    ออกแบบมาเพื่อวิเคราะห์ภาพจากกล้องหลายตัวในรถ

    ความร่วมมือกับผู้ผลิตชิป
    ผลิตโดย Samsung และ TSMC
    ช่วยเพิ่มกำลังการผลิตและลดความเสี่ยงด้าน supply chain
    ใช้เทคโนโลยีการผลิตขั้นสูง เช่น 2nm และ 3nm

    ผลกระทบต่ออุตสาหกรรมรถยนต์
    ยกระดับความสามารถของรถยนต์ Tesla ในการขับขี่อัตโนมัติ
    เพิ่มความแม่นยำและความเร็วในการประมวลผลข้อมูลจากเซนเซอร์
    อาจเป็นจุดเปลี่ยนสำคัญในการแข่งขันด้าน AI ในรถยนต์

    ข้อควรระวังและความท้าทาย
    การผลิตชิปขั้นสูงต้องใช้เทคโนโลยีที่ซับซ้อนและต้นทุนสูง
    ความล่าช้าในการผลิตอาจกระทบต่อการเปิดตัวรถรุ่นใหม่
    การพึ่งพาผู้ผลิตภายนอกอาจมีความเสี่ยงด้านความมั่นคงของ supply chain
    หากระบบ FSD ยังไม่ผ่านการรับรองในหลายประเทศ อาจจำกัดการใช้งานจริง

    https://www.tomshardware.com/tech-industry/elon-musk-claims-teslas-new-ai5-chip-is-40x-more-performant-than-previous-gen-ai5-next-gen-custom-silicon-for-vehicle-ai-to-now-be-built-by-samsung-and-tsmc
    🚗 Tesla เปิดตัวชิป AI5 รุ่นใหม่ – เร็วกว่าเดิม 40 เท่า พร้อมผลิตโดย Samsung และ TSMC Elon Musk ประกาศว่า Tesla ได้พัฒนาชิป AI5 รุ่นใหม่สำหรับระบบปัญญาประดิษฐ์ในรถยนต์ โดยมีประสิทธิภาพสูงกว่ารุ่นก่อนถึง 40 เท่า! ชิปนี้จะถูกผลิตโดยสองยักษ์ใหญ่ในวงการเซมิคอนดักเตอร์คือ Samsung และ TSMC ซึ่งถือเป็นการยกระดับเทคโนโลยีของ Tesla ไปอีกขั้น ชิป AI5 รุ่นใหม่นี้จะถูกนำไปใช้ในระบบ Full Self-Driving (FSD) และ Dojo ซึ่งเป็นแพลตฟอร์มฝึกโมเดล AI ของ Tesla โดยเน้นการประมวลผลแบบ edge computing ที่สามารถทำงานได้โดยไม่ต้องพึ่งพา cloud ตลอดเวลา ชิปนี้ยังถูกออกแบบให้รองรับการประมวลผลแบบ real-time สำหรับการขับขี่อัตโนมัติ และการวิเคราะห์ภาพจากกล้องหลายตัวในรถ การร่วมมือกับ Samsung และ TSMC ไม่เพียงแต่ช่วยให้ Tesla สามารถผลิตชิปได้ในปริมาณมาก แต่ยังเป็นการกระจายความเสี่ยงด้าน supply chain ในช่วงที่อุตสาหกรรมเซมิคอนดักเตอร์ทั่วโลกยังเผชิญกับความไม่แน่นอน ✅ การเปิดตัวชิป AI5 รุ่นใหม่ของ Tesla ➡️ มีประสิทธิภาพสูงกว่ารุ่นก่อนถึง 40 เท่า ➡️ ใช้ในระบบ Full Self-Driving (FSD) และ Dojo ➡️ รองรับการประมวลผลแบบ edge computing และ real-time ➡️ ออกแบบมาเพื่อวิเคราะห์ภาพจากกล้องหลายตัวในรถ ✅ ความร่วมมือกับผู้ผลิตชิป ➡️ ผลิตโดย Samsung และ TSMC ➡️ ช่วยเพิ่มกำลังการผลิตและลดความเสี่ยงด้าน supply chain ➡️ ใช้เทคโนโลยีการผลิตขั้นสูง เช่น 2nm และ 3nm ✅ ผลกระทบต่ออุตสาหกรรมรถยนต์ ➡️ ยกระดับความสามารถของรถยนต์ Tesla ในการขับขี่อัตโนมัติ ➡️ เพิ่มความแม่นยำและความเร็วในการประมวลผลข้อมูลจากเซนเซอร์ ➡️ อาจเป็นจุดเปลี่ยนสำคัญในการแข่งขันด้าน AI ในรถยนต์ ‼️ ข้อควรระวังและความท้าทาย ⛔ การผลิตชิปขั้นสูงต้องใช้เทคโนโลยีที่ซับซ้อนและต้นทุนสูง ⛔ ความล่าช้าในการผลิตอาจกระทบต่อการเปิดตัวรถรุ่นใหม่ ⛔ การพึ่งพาผู้ผลิตภายนอกอาจมีความเสี่ยงด้านความมั่นคงของ supply chain ⛔ หากระบบ FSD ยังไม่ผ่านการรับรองในหลายประเทศ อาจจำกัดการใช้งานจริง https://www.tomshardware.com/tech-industry/elon-musk-claims-teslas-new-ai5-chip-is-40x-more-performant-than-previous-gen-ai5-next-gen-custom-silicon-for-vehicle-ai-to-now-be-built-by-samsung-and-tsmc
    0 Comments 0 Shares 79 Views 0 Reviews
  • “จีนพยายามถอดรหัสเครื่อง DUV ของ ASML – สุดท้ายพังเอง ต้องเรียกทีมดัตช์มาซ่อม!”

    ในความพยายามของจีนที่จะไล่ตามเทคโนโลยีการผลิตชิประดับโลก ล่าสุดมีรายงานว่า วิศวกรจีนได้พยายาม “reverse engineer” เครื่อง DUV (Deep Ultraviolet Lithography) ของ ASML ซึ่งเป็นบริษัทจากเนเธอร์แลนด์ที่ครองตลาดเครื่องผลิตชิปขั้นสูงมายาวนาน

    เรื่องราวเริ่มจากการที่จีนไม่สามารถเข้าถึงเครื่อง EUV (Extreme Ultraviolet) ของ ASML ได้ เนื่องจากข้อจำกัดด้านการส่งออกจากสหรัฐฯ ทำให้ต้องพึ่งเครื่อง DUV รุ่นเก่าที่มีอยู่ และพยายามถอดรหัสเพื่อสร้างเทคโนโลยีของตัวเอง แต่ระหว่างการถอดประกอบเครื่องกลับเกิดความเสียหายขึ้น จนต้องเรียกทีมเทคนิคของ ASML เข้ามาซ่อมให้

    เมื่อทีม ASML เดินทางไปจีน ก็พบว่าเครื่องไม่ได้เสียจากการใช้งานทั่วไป แต่เสียเพราะถูกถอดประกอบโดยไม่มีความเข้าใจเชิงลึกในระบบที่ซับซ้อนของเครื่อง DUV ซึ่งประกอบด้วยระบบออปติกส์ที่ละเอียดอ่อน ระบบสุญญากาศ และการควบคุมด้วยซอฟต์แวร์ที่ซับซ้อนมาก

    เหตุการณ์นี้สะท้อนถึงความยากลำบากของจีนในการพัฒนาเทคโนโลยี lithography ด้วยตัวเอง แม้จะมีความพยายามอย่างมากในการสร้างเครื่องผลิตชิปภายในประเทศ แต่ก็ยังห่างจากมาตรฐานของ ASML อยู่หลายปี

    เหตุการณ์การ reverse engineer เครื่อง DUV
    วิศวกรจีนพยายามถอดรหัสเครื่อง DUV ของ ASML
    เครื่องเกิดความเสียหายระหว่างการถอดประกอบ
    ต้องเรียกทีมเทคนิคจาก ASML มาซ่อมให้
    ทีม ASML พบว่าเครื่องเสียเพราะถูกแกะโดยไม่มีความเข้าใจระบบ
    เครื่อง DUV มีระบบออปติกส์และสุญญากาศที่ซับซ้อน
    การควบคุมด้วยซอฟต์แวร์ต้องใช้ความแม่นยำสูง
    สะท้อนความท้าทายของจีนในการพัฒนาเทคโนโลยี lithography

    บริบททางเทคโนโลยีและภูมิรัฐศาสตร์
    จีนไม่สามารถเข้าถึงเครื่อง EUV ของ ASML ได้
    ต้องพึ่งเครื่อง DUV รุ่นเก่าในการผลิตชิป
    ความพยายามสร้างเทคโนโลยีภายในประเทศยังไม่เทียบเท่า ASML
    เหตุการณ์นี้เกิดขึ้นท่ามกลางข้อจำกัดด้านการส่งออกจากสหรัฐฯ
    ASML เป็นผู้ผลิตเครื่อง lithography ที่ล้ำหน้าที่สุดในโลก

    https://wccftech.com/chinese-engineers-tried-to-reverse-engineer-asml-duv-machines-only-to-break-them/
    🔧 “จีนพยายามถอดรหัสเครื่อง DUV ของ ASML – สุดท้ายพังเอง ต้องเรียกทีมดัตช์มาซ่อม!” ในความพยายามของจีนที่จะไล่ตามเทคโนโลยีการผลิตชิประดับโลก ล่าสุดมีรายงานว่า วิศวกรจีนได้พยายาม “reverse engineer” เครื่อง DUV (Deep Ultraviolet Lithography) ของ ASML ซึ่งเป็นบริษัทจากเนเธอร์แลนด์ที่ครองตลาดเครื่องผลิตชิปขั้นสูงมายาวนาน เรื่องราวเริ่มจากการที่จีนไม่สามารถเข้าถึงเครื่อง EUV (Extreme Ultraviolet) ของ ASML ได้ เนื่องจากข้อจำกัดด้านการส่งออกจากสหรัฐฯ ทำให้ต้องพึ่งเครื่อง DUV รุ่นเก่าที่มีอยู่ และพยายามถอดรหัสเพื่อสร้างเทคโนโลยีของตัวเอง แต่ระหว่างการถอดประกอบเครื่องกลับเกิดความเสียหายขึ้น จนต้องเรียกทีมเทคนิคของ ASML เข้ามาซ่อมให้ เมื่อทีม ASML เดินทางไปจีน ก็พบว่าเครื่องไม่ได้เสียจากการใช้งานทั่วไป แต่เสียเพราะถูกถอดประกอบโดยไม่มีความเข้าใจเชิงลึกในระบบที่ซับซ้อนของเครื่อง DUV ซึ่งประกอบด้วยระบบออปติกส์ที่ละเอียดอ่อน ระบบสุญญากาศ และการควบคุมด้วยซอฟต์แวร์ที่ซับซ้อนมาก เหตุการณ์นี้สะท้อนถึงความยากลำบากของจีนในการพัฒนาเทคโนโลยี lithography ด้วยตัวเอง แม้จะมีความพยายามอย่างมากในการสร้างเครื่องผลิตชิปภายในประเทศ แต่ก็ยังห่างจากมาตรฐานของ ASML อยู่หลายปี ✅ เหตุการณ์การ reverse engineer เครื่อง DUV ➡️ วิศวกรจีนพยายามถอดรหัสเครื่อง DUV ของ ASML ➡️ เครื่องเกิดความเสียหายระหว่างการถอดประกอบ ➡️ ต้องเรียกทีมเทคนิคจาก ASML มาซ่อมให้ ➡️ ทีม ASML พบว่าเครื่องเสียเพราะถูกแกะโดยไม่มีความเข้าใจระบบ ➡️ เครื่อง DUV มีระบบออปติกส์และสุญญากาศที่ซับซ้อน ➡️ การควบคุมด้วยซอฟต์แวร์ต้องใช้ความแม่นยำสูง ➡️ สะท้อนความท้าทายของจีนในการพัฒนาเทคโนโลยี lithography ✅ บริบททางเทคโนโลยีและภูมิรัฐศาสตร์ ➡️ จีนไม่สามารถเข้าถึงเครื่อง EUV ของ ASML ได้ ➡️ ต้องพึ่งเครื่อง DUV รุ่นเก่าในการผลิตชิป ➡️ ความพยายามสร้างเทคโนโลยีภายในประเทศยังไม่เทียบเท่า ASML ➡️ เหตุการณ์นี้เกิดขึ้นท่ามกลางข้อจำกัดด้านการส่งออกจากสหรัฐฯ ➡️ ASML เป็นผู้ผลิตเครื่อง lithography ที่ล้ำหน้าที่สุดในโลก https://wccftech.com/chinese-engineers-tried-to-reverse-engineer-asml-duv-machines-only-to-break-them/
    WCCFTECH.COM
    Chinese Technicians Boldly Tried to Reverse Engineer ASML’s DUV Machines; Only to Break Them & Call the Dutch Firm For Help
    Chinese engineers did manage to 'break' ASML's DUV equipment, and actually called out the Dutch firm to sort out the problem.
    0 Comments 0 Shares 60 Views 0 Reviews
  • “TSMC ยังเหนียวแน่น! Qualcomm และ MediaTek ไม่น่าจะเปลี่ยนไปใช้ Samsung สำหรับชิป 2nm – เหตุผลทั้งเทคนิคและเวลา”

    หลายคนอาจเคยได้ยินข่าวลือว่า Qualcomm กับ MediaTek อาจเปลี่ยนไปใช้ Samsung Foundry สำหรับการผลิตชิป 2nm แทน TSMC เพราะราคาที่ TSMC ตั้งไว้สูงถึง $30,000 ต่อแผ่นเวเฟอร์ ทำให้ดูเหมือนว่าทั้งสองบริษัทอาจหาทางเลือกใหม่เพื่อประหยัดต้นทุน

    แต่ล่าสุดมีรายงานจาก DigiTimes ที่อ้างแหล่งข่าวในสายการผลิตว่า “ไม่น่าเป็นไปได้” ที่ Qualcomm และ MediaTek จะเปลี่ยนไปใช้ Samsung ในเร็ว ๆ นี้ โดยมีเหตุผลหลักสองข้อคือ “เรื่องเวลา” และ “ความพร้อมของเทคโนโลยี”

    แม้ว่า MediaTek จะประกาศว่าได้ tape-out ชิป 2nm แล้วและจะเปิดตัวปลายปี 2026 แต่ไม่ได้ระบุว่าใช้โรงงานไหน ซึ่งทำให้เกิดการคาดเดาว่าอาจเป็น Samsung แต่แหล่งข่าวบอกว่า ถ้าจะใช้เทคโนโลยี GAA 2nm ของ Samsung จริง ๆ ก็ต้องรอถึงปี 2027 ถึงจะพร้อมใช้งานในเชิงพาณิชย์

    อีกประเด็นคือเรื่องของการตามให้ทัน Apple ซึ่งเป็นผู้นำด้านเทคโนโลยีการผลิตชิป โดย Qualcomm และ MediaTek ไม่อยากปล่อยให้ Apple นำหน้าไปอีกหนึ่งเจเนอเรชัน จึงเลือกที่จะอยู่กับ TSMC แม้ราคาจะสูง แต่ก็ถือว่า “รับได้” เพื่อรักษาความเร็วและคุณภาพของผลิตภัณฑ์

    นอกจากนี้ยังมีข้อมูลว่า Qualcomm เคยขอ sample ชิป 2nm จาก Samsung เพื่อทดสอบ ซึ่งอาจเป็นการเตรียมความพร้อมสำหรับอนาคตมากกว่าการเปลี่ยนโรงงานในตอนนี้

    สถานการณ์การผลิตชิป 2nm
    TSMC ยังคงเป็นผู้ผลิตหลักของ Qualcomm และ MediaTek
    ราคาชิป 2nm จาก TSMC อยู่ที่ $30,000 ต่อเวเฟอร์
    MediaTek tape-out ชิป 2nm แล้ว เตรียมเปิดตัวปลายปี 2026
    Samsung ยังไม่พร้อมผลิตชิป 2nm GAA จนกว่าจะถึงปี 2027
    Qualcomm เคยขอ sample จาก Samsung เพื่อทดสอบ
    ทั้งสองบริษัทต้องการตามให้ทัน Apple ในด้านเทคโนโลยี
    การอยู่กับ TSMC ช่วยรักษาคุณภาพและความเร็วในการพัฒนา

    ความเคลื่อนไหวในอุตสาหกรรม
    Apple เคยใช้ TSMC N3B สำหรับ M3, M3 Pro และ M3 Max
    Tape-out ของ Apple มีต้นทุนสูงถึง $1 พันล้าน
    Snapdragon 8 Gen 3 และ Dimensity 9300 ใช้ TSMC 3nm รุ่นแรก
    Snapdragon 8 Elite Gen 5 และ Dimensity 9500 มีต้นทุนสูงขึ้น
    อาจมีการใช้ dual-sourcing ในอนาคตระหว่าง TSMC และ Samsung

    https://wccftech.com/qualcomm-and-mediatek-are-unlikely-to-switch-to-samsung-for-2nm-chip-orders/
    🔧 “TSMC ยังเหนียวแน่น! Qualcomm และ MediaTek ไม่น่าจะเปลี่ยนไปใช้ Samsung สำหรับชิป 2nm – เหตุผลทั้งเทคนิคและเวลา” หลายคนอาจเคยได้ยินข่าวลือว่า Qualcomm กับ MediaTek อาจเปลี่ยนไปใช้ Samsung Foundry สำหรับการผลิตชิป 2nm แทน TSMC เพราะราคาที่ TSMC ตั้งไว้สูงถึง $30,000 ต่อแผ่นเวเฟอร์ ทำให้ดูเหมือนว่าทั้งสองบริษัทอาจหาทางเลือกใหม่เพื่อประหยัดต้นทุน แต่ล่าสุดมีรายงานจาก DigiTimes ที่อ้างแหล่งข่าวในสายการผลิตว่า “ไม่น่าเป็นไปได้” ที่ Qualcomm และ MediaTek จะเปลี่ยนไปใช้ Samsung ในเร็ว ๆ นี้ โดยมีเหตุผลหลักสองข้อคือ “เรื่องเวลา” และ “ความพร้อมของเทคโนโลยี” แม้ว่า MediaTek จะประกาศว่าได้ tape-out ชิป 2nm แล้วและจะเปิดตัวปลายปี 2026 แต่ไม่ได้ระบุว่าใช้โรงงานไหน ซึ่งทำให้เกิดการคาดเดาว่าอาจเป็น Samsung แต่แหล่งข่าวบอกว่า ถ้าจะใช้เทคโนโลยี GAA 2nm ของ Samsung จริง ๆ ก็ต้องรอถึงปี 2027 ถึงจะพร้อมใช้งานในเชิงพาณิชย์ อีกประเด็นคือเรื่องของการตามให้ทัน Apple ซึ่งเป็นผู้นำด้านเทคโนโลยีการผลิตชิป โดย Qualcomm และ MediaTek ไม่อยากปล่อยให้ Apple นำหน้าไปอีกหนึ่งเจเนอเรชัน จึงเลือกที่จะอยู่กับ TSMC แม้ราคาจะสูง แต่ก็ถือว่า “รับได้” เพื่อรักษาความเร็วและคุณภาพของผลิตภัณฑ์ นอกจากนี้ยังมีข้อมูลว่า Qualcomm เคยขอ sample ชิป 2nm จาก Samsung เพื่อทดสอบ ซึ่งอาจเป็นการเตรียมความพร้อมสำหรับอนาคตมากกว่าการเปลี่ยนโรงงานในตอนนี้ ✅ สถานการณ์การผลิตชิป 2nm ➡️ TSMC ยังคงเป็นผู้ผลิตหลักของ Qualcomm และ MediaTek ➡️ ราคาชิป 2nm จาก TSMC อยู่ที่ $30,000 ต่อเวเฟอร์ ➡️ MediaTek tape-out ชิป 2nm แล้ว เตรียมเปิดตัวปลายปี 2026 ➡️ Samsung ยังไม่พร้อมผลิตชิป 2nm GAA จนกว่าจะถึงปี 2027 ➡️ Qualcomm เคยขอ sample จาก Samsung เพื่อทดสอบ ➡️ ทั้งสองบริษัทต้องการตามให้ทัน Apple ในด้านเทคโนโลยี ➡️ การอยู่กับ TSMC ช่วยรักษาคุณภาพและความเร็วในการพัฒนา ✅ ความเคลื่อนไหวในอุตสาหกรรม ➡️ Apple เคยใช้ TSMC N3B สำหรับ M3, M3 Pro และ M3 Max ➡️ Tape-out ของ Apple มีต้นทุนสูงถึง $1 พันล้าน ➡️ Snapdragon 8 Gen 3 และ Dimensity 9300 ใช้ TSMC 3nm รุ่นแรก ➡️ Snapdragon 8 Elite Gen 5 และ Dimensity 9500 มีต้นทุนสูงขึ้น ➡️ อาจมีการใช้ dual-sourcing ในอนาคตระหว่าง TSMC และ Samsung https://wccftech.com/qualcomm-and-mediatek-are-unlikely-to-switch-to-samsung-for-2nm-chip-orders/
    WCCFTECH.COM
    Qualcomm, MediaTek Switching To Samsung Foundry For 2nm Chip Orders Seems Unlikely, Supply Chain Sources Believe That Timing Makes The Switch Impossible
    A new report says that supply chain sources are unconvinced that Qualcomm and MediaTek will begin placing 2nm chip orders with Samsung
    0 Comments 0 Shares 87 Views 0 Reviews
  • "Samsung ร่วมมือ Nvidia สร้าง CPU และ XPU แบบใหม่—ขยายอำนาจ NVLink ท่ามกลางการแข่งขัน AI"

    Nvidia กำลังขยายอิทธิพลในโลก AI ด้วยการร่วมมือกับ Samsung Foundry เพื่อออกแบบและผลิต CPU และ XPU แบบ non-x86 โดยใช้เทคโนโลยี NVLink Fusion ซึ่งเป็นระบบเชื่อมต่อความเร็วสูงระหว่าง CPU, GPU และ accelerator ที่ออกแบบมาเพื่อใช้งานในระดับ rack-scale data center

    การประกาศนี้เกิดขึ้นในงาน OCP Global Summit ปี 2025 โดยมีเป้าหมายเพื่อรับมือกับการแข่งขันจากบริษัทใหญ่อย่าง OpenAI, Google, AWS, Broadcom และ Meta ที่กำลังพัฒนาเทคโนโลยีชิปของตัวเองเพื่อลดการพึ่งพา Nvidia

    Samsung จะมีบทบาทตั้งแต่การออกแบบไปจนถึงการผลิตชิปแบบ custom โดยใช้ NVLink Fusion เป็นแกนกลาง ซึ่งช่วยให้ CPU และ XPU สามารถสื่อสารกับ GPU ของ Nvidia ได้โดยตรงแบบ high-bandwidth, low-latency

    ความร่วมมือระหว่าง Nvidia และ Samsung
    Samsung Foundry จะช่วยออกแบบและผลิต CPU/XPU แบบ non-x86
    ใช้เทคโนโลยี NVLink Fusion เพื่อเชื่อมต่อกับ GPU ของ Nvidia
    ขยาย ecosystem ของ Nvidia ในระดับ data center

    จุดเด่นของ NVLink Fusion
    เป็น IP และ chiplet solution สำหรับการเชื่อมต่อ CPU-GPU-accelerator
    รองรับการสื่อสารแบบ high-speed ในระบบ rack-scale
    ช่วยลด bottleneck ระหว่างองค์ประกอบของระบบประมวลผล

    การแข่งขันในตลาด AI
    OpenAI, Google, AWS, Meta และ Broadcom กำลังพัฒนาชิปของตัวเอง
    Nvidia ต้องสร้างความได้เปรียบผ่านการควบคุมทั้ง hardware stack
    การร่วมมือกับ Samsung ช่วยเพิ่มความสามารถในการผลิตและออกแบบ

    ข้อจำกัดของ NVLink Fusion
    ชิปที่ใช้ NVLink Fusion ต้องเชื่อมต่อกับผลิตภัณฑ์ของ Nvidia เท่านั้น
    Nvidia ควบคุม controller, PHY layer และ NVLink Switch licensing
    อาจเกิดความกังวลเรื่อง vendor lock-in และความเปิดของ ecosystem

    สาระเพิ่มเติมจากภายนอก:
    ความหมายของ XPU
    เป็นแนวคิดใหม่ที่รวม CPU, GPU และ accelerator เข้าด้วยกัน
    ออกแบบมาเพื่อรองรับงาน AI และ HPC โดยเฉพาะ

    บทบาทของ Samsung Foundry
    เป็นหนึ่งในผู้ผลิตชิประดับโลกที่มีเทคโนโลยีการผลิตขั้นสูง
    การร่วมมือครั้งนี้ช่วยให้ Nvidia มีทางเลือกนอกเหนือจาก TSMC

    https://www.techradar.com/pro/samsung-will-help-nvidia-build-custom-non-x86-cpu-and-xpu-in-a-bid-to-stave-off-competition-from-openai-google-aws-broadcom-meta-and-its-other-key-partners
    🔧 "Samsung ร่วมมือ Nvidia สร้าง CPU และ XPU แบบใหม่—ขยายอำนาจ NVLink ท่ามกลางการแข่งขัน AI" Nvidia กำลังขยายอิทธิพลในโลก AI ด้วยการร่วมมือกับ Samsung Foundry เพื่อออกแบบและผลิต CPU และ XPU แบบ non-x86 โดยใช้เทคโนโลยี NVLink Fusion ซึ่งเป็นระบบเชื่อมต่อความเร็วสูงระหว่าง CPU, GPU และ accelerator ที่ออกแบบมาเพื่อใช้งานในระดับ rack-scale data center การประกาศนี้เกิดขึ้นในงาน OCP Global Summit ปี 2025 โดยมีเป้าหมายเพื่อรับมือกับการแข่งขันจากบริษัทใหญ่อย่าง OpenAI, Google, AWS, Broadcom และ Meta ที่กำลังพัฒนาเทคโนโลยีชิปของตัวเองเพื่อลดการพึ่งพา Nvidia Samsung จะมีบทบาทตั้งแต่การออกแบบไปจนถึงการผลิตชิปแบบ custom โดยใช้ NVLink Fusion เป็นแกนกลาง ซึ่งช่วยให้ CPU และ XPU สามารถสื่อสารกับ GPU ของ Nvidia ได้โดยตรงแบบ high-bandwidth, low-latency ✅ ความร่วมมือระหว่าง Nvidia และ Samsung ➡️ Samsung Foundry จะช่วยออกแบบและผลิต CPU/XPU แบบ non-x86 ➡️ ใช้เทคโนโลยี NVLink Fusion เพื่อเชื่อมต่อกับ GPU ของ Nvidia ➡️ ขยาย ecosystem ของ Nvidia ในระดับ data center ✅ จุดเด่นของ NVLink Fusion ➡️ เป็น IP และ chiplet solution สำหรับการเชื่อมต่อ CPU-GPU-accelerator ➡️ รองรับการสื่อสารแบบ high-speed ในระบบ rack-scale ➡️ ช่วยลด bottleneck ระหว่างองค์ประกอบของระบบประมวลผล ✅ การแข่งขันในตลาด AI ➡️ OpenAI, Google, AWS, Meta และ Broadcom กำลังพัฒนาชิปของตัวเอง ➡️ Nvidia ต้องสร้างความได้เปรียบผ่านการควบคุมทั้ง hardware stack ➡️ การร่วมมือกับ Samsung ช่วยเพิ่มความสามารถในการผลิตและออกแบบ ‼️ ข้อจำกัดของ NVLink Fusion ⛔ ชิปที่ใช้ NVLink Fusion ต้องเชื่อมต่อกับผลิตภัณฑ์ของ Nvidia เท่านั้น ⛔ Nvidia ควบคุม controller, PHY layer และ NVLink Switch licensing ⛔ อาจเกิดความกังวลเรื่อง vendor lock-in และความเปิดของ ecosystem 📎 สาระเพิ่มเติมจากภายนอก: ✅ ความหมายของ XPU ➡️ เป็นแนวคิดใหม่ที่รวม CPU, GPU และ accelerator เข้าด้วยกัน ➡️ ออกแบบมาเพื่อรองรับงาน AI และ HPC โดยเฉพาะ ✅ บทบาทของ Samsung Foundry ➡️ เป็นหนึ่งในผู้ผลิตชิประดับโลกที่มีเทคโนโลยีการผลิตขั้นสูง ➡️ การร่วมมือครั้งนี้ช่วยให้ Nvidia มีทางเลือกนอกเหนือจาก TSMC https://www.techradar.com/pro/samsung-will-help-nvidia-build-custom-non-x86-cpu-and-xpu-in-a-bid-to-stave-off-competition-from-openai-google-aws-broadcom-meta-and-its-other-key-partners
    WWW.TECHRADAR.COM
    Samsung joins Nvidia to power custom CPUs and XPUs
    Custom chips under NVLink Fusion remain tied to Nvidia products exclusively
    0 Comments 0 Shares 153 Views 0 Reviews
  • "TSMC เปิดโรงงานในสหรัฐฯ ให้ชมผ่านวิดีโอ: เทคโนโลยีล้ำยุคใน Fab 21 ที่แอริโซนา"

    TSMC ผู้ผลิตชิปอันดับหนึ่งของโลกจากไต้หวัน ได้เผยแพร่วิดีโอหายากที่พาผู้ชมบินผ่านโรงงาน Fab 21 ในรัฐแอริโซนา สหรัฐอเมริกา ซึ่งกำลังผลิตชิปด้วยเทคโนโลยีระดับ 4nm และ 5nm (N4/N5) สำหรับบริษัทชั้นนำอย่าง Apple, AMD และ Nvidia

    วิดีโอแสดงให้เห็นระบบ “Silver Highway” หรือระบบขนส่งวัสดุอัตโนมัติ (AMHS) ที่ใช้รางเหนือศีรษะในการเคลื่อนย้าย FOUPs (Front-Opening Unified Pods) ซึ่งบรรจุเวเฟอร์ขนาด 300 มม. ไปยังเครื่องมือผลิตต่าง ๆ อย่างแม่นยำและรวดเร็ว

    จุดเด่นของโรงงานคือเครื่อง EUV Lithography จาก ASML รุ่น Twinscan NXE ที่ใช้แสงความยาวคลื่น 13.5nm จากพลาสมาทินในการ “พิมพ์” ลวดลายบนเวเฟอร์ด้วยความละเอียดระดับ 13nm ซึ่งเป็นหัวใจของการผลิตชิปยุคใหม่

    ไฮไลต์จากวิดีโอโรงงาน Fab 21
    แสดงระบบ Silver Highway สำหรับขนส่ง FOUPs อัตโนมัติ
    ใช้แสงสีเหลืองในห้อง cleanroom เพื่อป้องกันการเปิดรับแสงของ photoresist
    เครื่อง EUV จาก ASML ใช้ plasma จากหยดทินในการสร้างลวดลายบนเวเฟอร์

    เทคโนโลยีการผลิต
    ใช้กระบวนการ N4 และ N5 (4nm และ 5nm-class)
    เครื่อง Twinscan NXE:3600D มีความแม่นยำระดับ 1.1nm
    ใช้ระบบเลเซอร์ผลิตพลาสมาและกระจกสะท้อนพิเศษแทนเลนส์ทั่วไป

    แผนการขยายโรงงาน
    Fab 21 phase 2 จะรองรับการผลิตชิประดับ N3 และ N2
    TSMC เตรียมซื้อที่ดินเพิ่มเพื่อสร้าง Gigafab cluster ในแอริโซนา
    รองรับความต้องการด้าน AI, สมาร์ทโฟน และ HPC ที่เพิ่มขึ้น

    ความท้าทายของเทคโนโลยี EUV
    ต้องควบคุมความแม่นยำของการวางลวดลายในระดับนาโนเมตร
    มีผลกระทบจาก stochastic effects ที่อาจทำให้เกิดข้อผิดพลาด
    ต้องใช้กระจกพิเศษแทนเลนส์ เพราะแสง EUV ถูกดูดกลืนโดยวัสดุทั่วไป

    สาระเพิ่มเติมจากภายนอก:
    ความหมายของ Gigafab
    โรงงานที่สามารถผลิตเวเฟอร์ได้มากกว่า 100,000 แผ่นต่อเดือน
    เป็นระดับสูงสุดของโรงงานผลิตเซมิคอนดักเตอร์

    ความสำคัญของ Fab 21 ต่อสหรัฐฯ
    เป็นส่วนหนึ่งของยุทธศาสตร์ลดการพึ่งพาการผลิตจากเอเชีย
    สนับสนุนความมั่นคงด้านเทคโนโลยีและเศรษฐกิจของประเทศ

    https://www.tomshardware.com/tech-industry/semiconductors/tsmc-gives-an-ultra-rare-video-look-inside-its-fabs-silver-highway-and-fab-tools-revealed-in-flyby-video-of-companys-us-arizona-fab-21
    🏭 "TSMC เปิดโรงงานในสหรัฐฯ ให้ชมผ่านวิดีโอ: เทคโนโลยีล้ำยุคใน Fab 21 ที่แอริโซนา" TSMC ผู้ผลิตชิปอันดับหนึ่งของโลกจากไต้หวัน ได้เผยแพร่วิดีโอหายากที่พาผู้ชมบินผ่านโรงงาน Fab 21 ในรัฐแอริโซนา สหรัฐอเมริกา ซึ่งกำลังผลิตชิปด้วยเทคโนโลยีระดับ 4nm และ 5nm (N4/N5) สำหรับบริษัทชั้นนำอย่าง Apple, AMD และ Nvidia วิดีโอแสดงให้เห็นระบบ “Silver Highway” หรือระบบขนส่งวัสดุอัตโนมัติ (AMHS) ที่ใช้รางเหนือศีรษะในการเคลื่อนย้าย FOUPs (Front-Opening Unified Pods) ซึ่งบรรจุเวเฟอร์ขนาด 300 มม. ไปยังเครื่องมือผลิตต่าง ๆ อย่างแม่นยำและรวดเร็ว จุดเด่นของโรงงานคือเครื่อง EUV Lithography จาก ASML รุ่น Twinscan NXE ที่ใช้แสงความยาวคลื่น 13.5nm จากพลาสมาทินในการ “พิมพ์” ลวดลายบนเวเฟอร์ด้วยความละเอียดระดับ 13nm ซึ่งเป็นหัวใจของการผลิตชิปยุคใหม่ ✅ ไฮไลต์จากวิดีโอโรงงาน Fab 21 ➡️ แสดงระบบ Silver Highway สำหรับขนส่ง FOUPs อัตโนมัติ ➡️ ใช้แสงสีเหลืองในห้อง cleanroom เพื่อป้องกันการเปิดรับแสงของ photoresist ➡️ เครื่อง EUV จาก ASML ใช้ plasma จากหยดทินในการสร้างลวดลายบนเวเฟอร์ ✅ เทคโนโลยีการผลิต ➡️ ใช้กระบวนการ N4 และ N5 (4nm และ 5nm-class) ➡️ เครื่อง Twinscan NXE:3600D มีความแม่นยำระดับ 1.1nm ➡️ ใช้ระบบเลเซอร์ผลิตพลาสมาและกระจกสะท้อนพิเศษแทนเลนส์ทั่วไป ✅ แผนการขยายโรงงาน ➡️ Fab 21 phase 2 จะรองรับการผลิตชิประดับ N3 และ N2 ➡️ TSMC เตรียมซื้อที่ดินเพิ่มเพื่อสร้าง Gigafab cluster ในแอริโซนา ➡️ รองรับความต้องการด้าน AI, สมาร์ทโฟน และ HPC ที่เพิ่มขึ้น ‼️ ความท้าทายของเทคโนโลยี EUV ⛔ ต้องควบคุมความแม่นยำของการวางลวดลายในระดับนาโนเมตร ⛔ มีผลกระทบจาก stochastic effects ที่อาจทำให้เกิดข้อผิดพลาด ⛔ ต้องใช้กระจกพิเศษแทนเลนส์ เพราะแสง EUV ถูกดูดกลืนโดยวัสดุทั่วไป 📎 สาระเพิ่มเติมจากภายนอก: ✅ ความหมายของ Gigafab ➡️ โรงงานที่สามารถผลิตเวเฟอร์ได้มากกว่า 100,000 แผ่นต่อเดือน ➡️ เป็นระดับสูงสุดของโรงงานผลิตเซมิคอนดักเตอร์ ✅ ความสำคัญของ Fab 21 ต่อสหรัฐฯ ➡️ เป็นส่วนหนึ่งของยุทธศาสตร์ลดการพึ่งพาการผลิตจากเอเชีย ➡️ สนับสนุนความมั่นคงด้านเทคโนโลยีและเศรษฐกิจของประเทศ https://www.tomshardware.com/tech-industry/semiconductors/tsmc-gives-an-ultra-rare-video-look-inside-its-fabs-silver-highway-and-fab-tools-revealed-in-flyby-video-of-companys-us-arizona-fab-21
    0 Comments 0 Shares 191 Views 0 Reviews
  • “Synopsys เปิดตัว LPDDR6 IP บนเทคโนโลยี TSMC N2P — แบนด์วิดท์พุ่งแตะ 86 GB/s”

    Synopsys ประกาศความสำเร็จในการ “bring-up” หรือเปิดใช้งานซิลิคอนจริงของ IP หน่วยความจำ LPDDR6 บนเทคโนโลยีการผลิตระดับ 2 นาโนเมตร N2P ของ TSMC ซึ่งถือเป็นก้าวสำคัญในการพัฒนา IP ที่พร้อมให้ลูกค้านำไปใช้งานจริง

    LPDDR6 IP ดังกล่าวประกอบด้วยสองส่วนหลัก: ตัวควบคุม (controller) และอินเทอร์เฟซ PHY โดยตัวควบคุมรองรับโปรโตคอล JEDEC, การควบคุมเวลา (timing control) และโหมดประหยัดพลังงาน ส่วน PHY ถูกสร้างขึ้นบนวงจรอนาล็อกและ I/O ของ N2P พร้อมใช้ metal stack และไลบรารีเฉพาะของ N2P

    Synopsys ระบุว่า IP นี้สามารถทำความเร็วได้ถึง 86 GB/s ซึ่งใกล้เคียงกับมาตรฐาน JEDEC ที่ 10.667 Gb/s ต่อ pin โดยมีเป้าหมายสูงสุดที่ 14.4 Gb/s ต่อ pin หรือราว 115 GB/s เมื่อรวมทุกช่องสัญญาณ

    ข้อได้เปรียบของการใช้ N2P คือประสิทธิภาพด้านพลังงาน (PPA) ที่ดีขึ้น ทำให้หน่วยความจำใช้พลังงานต่อบิตน้อยลง และมีขนาดเล็กลง เหมาะสำหรับอุปกรณ์ที่ต้องการประสิทธิภาพสูงแต่ประหยัดพลังงาน เช่น AI บนอุปกรณ์ (on-device AI) และแพลตฟอร์มพกพา

    Synopsys คาดว่า LPDDR6 จะกลายเป็นมาตรฐานหลักในปีหน้า โดยมีผู้ผลิตชิปและอุปกรณ์หลายรายเตรียมนำไปใช้งาน

    Synopsys เปิดตัว LPDDR6 IP ที่ผ่านการ bring-up บนเทคโนโลยี TSMC N2P
    หมายถึงการเปิดใช้งานซิลิคอนจริงสำเร็จ

    IP ประกอบด้วย controller และ PHY interface
    รองรับโปรโตคอล JEDEC และโหมดประหยัดพลังงาน

    ความเร็วสูงสุดที่ทำได้คือ 86 GB/s
    ใกล้เคียงกับมาตรฐาน JEDEC ที่ 10.667 Gb/s ต่อ pin

    ใช้เทคโนโลยี N2P ของ TSMC ที่มี PPA สูง
    ช่วยลดพลังงานต่อบิตและขนาดของชิป

    เหมาะสำหรับ on-device AI และแพลตฟอร์มประหยัดพลังงาน
    เช่น สมาร์ตโฟน, แท็บเล็ต, หรืออุปกรณ์ edge AI

    LPDDR6 คาดว่าจะกลายเป็นมาตรฐานหลักในปี 2026
    ผู้ผลิตชิปหลายรายเตรียมนำไปใช้งาน

    https://wccftech.com/synopsys-unveils-silicon-bring-up-of-lpddr6-ip-on-tsmc-n2p-node/
    ⚙️ “Synopsys เปิดตัว LPDDR6 IP บนเทคโนโลยี TSMC N2P — แบนด์วิดท์พุ่งแตะ 86 GB/s” Synopsys ประกาศความสำเร็จในการ “bring-up” หรือเปิดใช้งานซิลิคอนจริงของ IP หน่วยความจำ LPDDR6 บนเทคโนโลยีการผลิตระดับ 2 นาโนเมตร N2P ของ TSMC ซึ่งถือเป็นก้าวสำคัญในการพัฒนา IP ที่พร้อมให้ลูกค้านำไปใช้งานจริง LPDDR6 IP ดังกล่าวประกอบด้วยสองส่วนหลัก: ตัวควบคุม (controller) และอินเทอร์เฟซ PHY โดยตัวควบคุมรองรับโปรโตคอล JEDEC, การควบคุมเวลา (timing control) และโหมดประหยัดพลังงาน ส่วน PHY ถูกสร้างขึ้นบนวงจรอนาล็อกและ I/O ของ N2P พร้อมใช้ metal stack และไลบรารีเฉพาะของ N2P Synopsys ระบุว่า IP นี้สามารถทำความเร็วได้ถึง 86 GB/s ซึ่งใกล้เคียงกับมาตรฐาน JEDEC ที่ 10.667 Gb/s ต่อ pin โดยมีเป้าหมายสูงสุดที่ 14.4 Gb/s ต่อ pin หรือราว 115 GB/s เมื่อรวมทุกช่องสัญญาณ ข้อได้เปรียบของการใช้ N2P คือประสิทธิภาพด้านพลังงาน (PPA) ที่ดีขึ้น ทำให้หน่วยความจำใช้พลังงานต่อบิตน้อยลง และมีขนาดเล็กลง เหมาะสำหรับอุปกรณ์ที่ต้องการประสิทธิภาพสูงแต่ประหยัดพลังงาน เช่น AI บนอุปกรณ์ (on-device AI) และแพลตฟอร์มพกพา Synopsys คาดว่า LPDDR6 จะกลายเป็นมาตรฐานหลักในปีหน้า โดยมีผู้ผลิตชิปและอุปกรณ์หลายรายเตรียมนำไปใช้งาน ✅ Synopsys เปิดตัว LPDDR6 IP ที่ผ่านการ bring-up บนเทคโนโลยี TSMC N2P ➡️ หมายถึงการเปิดใช้งานซิลิคอนจริงสำเร็จ ✅ IP ประกอบด้วย controller และ PHY interface ➡️ รองรับโปรโตคอล JEDEC และโหมดประหยัดพลังงาน ✅ ความเร็วสูงสุดที่ทำได้คือ 86 GB/s ➡️ ใกล้เคียงกับมาตรฐาน JEDEC ที่ 10.667 Gb/s ต่อ pin ✅ ใช้เทคโนโลยี N2P ของ TSMC ที่มี PPA สูง ➡️ ช่วยลดพลังงานต่อบิตและขนาดของชิป ✅ เหมาะสำหรับ on-device AI และแพลตฟอร์มประหยัดพลังงาน ➡️ เช่น สมาร์ตโฟน, แท็บเล็ต, หรืออุปกรณ์ edge AI ✅ LPDDR6 คาดว่าจะกลายเป็นมาตรฐานหลักในปี 2026 ➡️ ผู้ผลิตชิปหลายรายเตรียมนำไปใช้งาน https://wccftech.com/synopsys-unveils-silicon-bring-up-of-lpddr6-ip-on-tsmc-n2p-node/
    WCCFTECH.COM
    Synopsys Unveils 'Silicon Bring-Up' of LPDDR6 IP On TSMC's Cutting-Edge N2P Node, Reaching Bandwidth Up to a Whopping 86 GB/s
    Synopsys has unveiled a massive development in the realm of mobile memory, as it announced the silicon bring-up of its LPDDR6 IP.
    0 Comments 0 Shares 154 Views 0 Reviews
  • “Intel Foundry คว้าดีลผลิตชิป AI Maia 2 ให้ Microsoft บนเทคโนโลยี 18A” — ก้าวสำคัญสู่ความร่วมมือระยะยาวในยุค AI

    Intel Foundry ได้รับสัญญาผลิตชิป AI รุ่นใหม่ของ Microsoft ในตระกูล Maia 2 โดยใช้เทคโนโลยีการผลิตระดับ 18A และ 18A-P ซึ่งถือเป็นหนึ่งในกระบวนการผลิตที่ล้ำหน้าที่สุดของ Intel ในปัจจุบัน โดยรายงานจาก SemiAccurate ระบุว่า Microsoft จะใช้ Intel Foundry เป็นฐานการผลิตหลักสำหรับชิป AI รุ่นถัดไป ซึ่งอาจเป็นจุดเริ่มต้นของความร่วมมือระยะยาวระหว่างสองยักษ์ใหญ่

    Maia 2 เป็นชิป AI ขนาดใหญ่ระดับใกล้ reticle size (ประมาณ 820 mm²) ที่ออกแบบมาเพื่อใช้งานในศูนย์ข้อมูล Azure โดยมีเป้าหมายเพื่อเพิ่มประสิทธิภาพและลดต้นทุนการเป็นเจ้าของ (TCO) เมื่อเทียบกับการใช้ GPU จาก Nvidia ซึ่ง Microsoft ยังใช้อยู่เป็นหลักในปัจจุบัน

    การเลือก Intel Foundry แทน TSMC มีความหมายเชิงยุทธศาสตร์ เพราะช่วยลดความเสี่ยงจากข้อจำกัดด้านกำลังการผลิตและการบรรจุชิปขั้นสูงที่ TSMC เผชิญอยู่ อีกทั้งยังสอดคล้องกับนโยบายของรัฐบาลสหรัฐฯ ที่สนับสนุนการผลิตชิปภายในประเทศ

    Intel คาดว่าเทคโนโลยี 18A จะมี yield สูงพอสำหรับการผลิตชิปขนาดใหญ่แบบนี้ โดยอาจใช้เทคนิค partitioning เป็น chiplet หลายตัวเชื่อมด้วย EMIB หรือ Foveros แต่ Microsoft น่าจะเลือกใช้ดีไซน์แบบ monolithic เพื่อประสิทธิภาพสูงสุด

    Intel Foundry ได้รับสัญญาผลิตชิป AI Maia 2 ให้ Microsoft
    ใช้เทคโนโลยีการผลิตระดับ 18A และ 18A-P

    Maia 2 เป็นชิปขนาดใหญ่ระดับใกล้ reticle size (820 mm²)
    มีทรานซิสเตอร์มากกว่า 105 พันล้านตัว

    Microsoft ใช้ชิปนี้ในศูนย์ข้อมูล Azure เพื่อเพิ่มประสิทธิภาพและลด TCO
    เปรียบเทียบกับ GPU จาก Nvidia ที่ใช้อยู่ในปัจจุบัน

    การเลือก Intel Foundry ช่วยลดความเสี่ยงจากข้อจำกัดของ TSMC
    ทั้งด้านกำลังการผลิตและการบรรจุชิปขั้นสูง

    สอดคล้องกับนโยบายของรัฐบาลสหรัฐฯ ที่สนับสนุนการผลิตในประเทศ
    เพิ่มความมั่นคงของห่วงโซ่อุปทาน

    Intel คาดว่า yield ของ 18A จะสูงพอสำหรับชิปขนาดใหญ่
    อาจใช้ EMIB หรือ Foveros หากต้องแบ่งเป็น chiplet

    https://www.tomshardware.com/tech-industry/semiconductors/intel-foundry-secures-contract-to-build-microsofts-maia-2-next-gen-ai-processor-on-18a-18a-p-node-claims-report-could-be-first-step-in-ongoing-partnership
    🤝 “Intel Foundry คว้าดีลผลิตชิป AI Maia 2 ให้ Microsoft บนเทคโนโลยี 18A” — ก้าวสำคัญสู่ความร่วมมือระยะยาวในยุค AI Intel Foundry ได้รับสัญญาผลิตชิป AI รุ่นใหม่ของ Microsoft ในตระกูล Maia 2 โดยใช้เทคโนโลยีการผลิตระดับ 18A และ 18A-P ซึ่งถือเป็นหนึ่งในกระบวนการผลิตที่ล้ำหน้าที่สุดของ Intel ในปัจจุบัน โดยรายงานจาก SemiAccurate ระบุว่า Microsoft จะใช้ Intel Foundry เป็นฐานการผลิตหลักสำหรับชิป AI รุ่นถัดไป ซึ่งอาจเป็นจุดเริ่มต้นของความร่วมมือระยะยาวระหว่างสองยักษ์ใหญ่ Maia 2 เป็นชิป AI ขนาดใหญ่ระดับใกล้ reticle size (ประมาณ 820 mm²) ที่ออกแบบมาเพื่อใช้งานในศูนย์ข้อมูล Azure โดยมีเป้าหมายเพื่อเพิ่มประสิทธิภาพและลดต้นทุนการเป็นเจ้าของ (TCO) เมื่อเทียบกับการใช้ GPU จาก Nvidia ซึ่ง Microsoft ยังใช้อยู่เป็นหลักในปัจจุบัน การเลือก Intel Foundry แทน TSMC มีความหมายเชิงยุทธศาสตร์ เพราะช่วยลดความเสี่ยงจากข้อจำกัดด้านกำลังการผลิตและการบรรจุชิปขั้นสูงที่ TSMC เผชิญอยู่ อีกทั้งยังสอดคล้องกับนโยบายของรัฐบาลสหรัฐฯ ที่สนับสนุนการผลิตชิปภายในประเทศ Intel คาดว่าเทคโนโลยี 18A จะมี yield สูงพอสำหรับการผลิตชิปขนาดใหญ่แบบนี้ โดยอาจใช้เทคนิค partitioning เป็น chiplet หลายตัวเชื่อมด้วย EMIB หรือ Foveros แต่ Microsoft น่าจะเลือกใช้ดีไซน์แบบ monolithic เพื่อประสิทธิภาพสูงสุด ✅ Intel Foundry ได้รับสัญญาผลิตชิป AI Maia 2 ให้ Microsoft ➡️ ใช้เทคโนโลยีการผลิตระดับ 18A และ 18A-P ✅ Maia 2 เป็นชิปขนาดใหญ่ระดับใกล้ reticle size (820 mm²) ➡️ มีทรานซิสเตอร์มากกว่า 105 พันล้านตัว ✅ Microsoft ใช้ชิปนี้ในศูนย์ข้อมูล Azure เพื่อเพิ่มประสิทธิภาพและลด TCO ➡️ เปรียบเทียบกับ GPU จาก Nvidia ที่ใช้อยู่ในปัจจุบัน ✅ การเลือก Intel Foundry ช่วยลดความเสี่ยงจากข้อจำกัดของ TSMC ➡️ ทั้งด้านกำลังการผลิตและการบรรจุชิปขั้นสูง ✅ สอดคล้องกับนโยบายของรัฐบาลสหรัฐฯ ที่สนับสนุนการผลิตในประเทศ ➡️ เพิ่มความมั่นคงของห่วงโซ่อุปทาน ✅ Intel คาดว่า yield ของ 18A จะสูงพอสำหรับชิปขนาดใหญ่ ➡️ อาจใช้ EMIB หรือ Foveros หากต้องแบ่งเป็น chiplet https://www.tomshardware.com/tech-industry/semiconductors/intel-foundry-secures-contract-to-build-microsofts-maia-2-next-gen-ai-processor-on-18a-18a-p-node-claims-report-could-be-first-step-in-ongoing-partnership
    0 Comments 0 Shares 180 Views 0 Reviews
  • “Tachyum Prodigy เลื่อนอีกครั้ง แต่เพิ่มเป็น 256 คอร์ต่อชิปเล็ต” — พร้อมดีล 500 ล้านดอลลาร์จากนักลงทุนยุโรป

    Tachyum ประกาศอัปเดตล่าสุดเกี่ยวกับชิป Prodigy ที่ตั้งเป้าเป็นโปรเซสเซอร์อเนกประสงค์สำหรับงาน AI และ HPC โดยเพิ่มจำนวนคอร์ต่อชิปเล็ตจากเดิม 192 เป็น 256 คอร์ เพื่อผลักดันประสิทธิภาพให้สูงขึ้นถึง 3 เท่าของซีพียู x86 ชั้นนำ และ 6 เท่าของ GPGPU สำหรับงาน HPC

    แม้จะมีความคืบหน้าเรื่องสเปก แต่ Prodigy ยังไม่เข้าสู่ขั้นตอน tape-out และยังไม่มีการล็อกสเปกสุดท้าย ทำให้การผลิตเชิงพาณิชย์น่าจะเริ่มได้ในปี 2027 ซึ่งถือว่าล่าช้ากว่ากำหนดเดิมหลายปี

    Tachyum ได้รับเงินลงทุน Series C จำนวน 220 ล้านดอลลาร์จากนักลงทุนยุโรป และยังได้รับคำสั่งซื้อ Prodigy มูลค่า 500 ล้านดอลลาร์จากนักลงทุนรายเดียวกัน โดยเงินทุนนี้จะใช้ในการเร่งขั้นตอน tape-out และการออกแบบขั้นสุดท้าย

    บริษัทคาดว่าจะได้ซิลิคอนตัวแรกในช่วงต้นปี 2026 และหากทุกอย่างเป็นไปตามแผน จะเริ่มส่งมอบเชิงพาณิชย์ได้ในกลางปี 2027 ซึ่งอาจสอดคล้องกับแผนการ IPO ของ Tachyum

    ข้อมูลในข่าว
    Tachyum เพิ่มจำนวนคอร์ใน Prodigy เป็น 256 คอร์ต่อชิปเล็ต
    เป้าหมายคือประสิทธิภาพสูงกว่า x86 และ GPGPU ชั้นนำ
    ยังไม่เข้าสู่ขั้นตอน tape-out และยังไม่ล็อกสเปกสุดท้าย
    ได้รับเงินลงทุน Series C จำนวน 220 ล้านดอลลาร์
    ได้รับคำสั่งซื้อ Prodigy มูลค่า 500 ล้านดอลลาร์จากนักลงทุนยุโรป
    คาดว่าจะได้ซิลิคอนตัวแรกในต้นปี 2026
    การส่งมอบเชิงพาณิชย์น่าจะเริ่มกลางปี 2027
    อาจสอดคล้องกับแผนการ IPO ของบริษัท
    ใช้เทคโนโลยีการผลิตระดับ 5nm-class จาก TSMC
    การพัฒนา Prodigy ใช้เวลานานกว่า 10 ปี

    คำเตือนจากข้อมูลข่าว
    Prodigy ยังไม่เข้าสู่ tape-out ทำให้ประสิทธิภาพจริงยังไม่สามารถวัดได้
    การเปลี่ยนแปลงสเปกระหว่างการพัฒนาอาจทำให้ timeline ล่าช้า
    การพึ่งพา TSMC อาจมีความเสี่ยงด้าน supply chain
    การพัฒนา 10 ปีอาจทำให้เทคโนโลยีบางส่วนล้าสมัยเมื่อเปิดตัว
    หาก validation ล่าช้า การส่งมอบอาจเลื่อนออกไปอีก
    การตั้งเป้าประสิทธิภาพสูงเกินไปอาจไม่สอดคล้องกับความเป็นจริงเมื่อผลิตจริง

    https://www.tomshardware.com/pc-components/cpus/tachyums-general-purpose-prodigy-chip-delayed-again-now-with-256-cores-per-chiplet-and-a-usd500-million-purchase-order-from-eu-investor
    🧠 “Tachyum Prodigy เลื่อนอีกครั้ง แต่เพิ่มเป็น 256 คอร์ต่อชิปเล็ต” — พร้อมดีล 500 ล้านดอลลาร์จากนักลงทุนยุโรป Tachyum ประกาศอัปเดตล่าสุดเกี่ยวกับชิป Prodigy ที่ตั้งเป้าเป็นโปรเซสเซอร์อเนกประสงค์สำหรับงาน AI และ HPC โดยเพิ่มจำนวนคอร์ต่อชิปเล็ตจากเดิม 192 เป็น 256 คอร์ เพื่อผลักดันประสิทธิภาพให้สูงขึ้นถึง 3 เท่าของซีพียู x86 ชั้นนำ และ 6 เท่าของ GPGPU สำหรับงาน HPC แม้จะมีความคืบหน้าเรื่องสเปก แต่ Prodigy ยังไม่เข้าสู่ขั้นตอน tape-out และยังไม่มีการล็อกสเปกสุดท้าย ทำให้การผลิตเชิงพาณิชย์น่าจะเริ่มได้ในปี 2027 ซึ่งถือว่าล่าช้ากว่ากำหนดเดิมหลายปี Tachyum ได้รับเงินลงทุน Series C จำนวน 220 ล้านดอลลาร์จากนักลงทุนยุโรป และยังได้รับคำสั่งซื้อ Prodigy มูลค่า 500 ล้านดอลลาร์จากนักลงทุนรายเดียวกัน โดยเงินทุนนี้จะใช้ในการเร่งขั้นตอน tape-out และการออกแบบขั้นสุดท้าย บริษัทคาดว่าจะได้ซิลิคอนตัวแรกในช่วงต้นปี 2026 และหากทุกอย่างเป็นไปตามแผน จะเริ่มส่งมอบเชิงพาณิชย์ได้ในกลางปี 2027 ซึ่งอาจสอดคล้องกับแผนการ IPO ของ Tachyum ✅ ข้อมูลในข่าว ➡️ Tachyum เพิ่มจำนวนคอร์ใน Prodigy เป็น 256 คอร์ต่อชิปเล็ต ➡️ เป้าหมายคือประสิทธิภาพสูงกว่า x86 และ GPGPU ชั้นนำ ➡️ ยังไม่เข้าสู่ขั้นตอน tape-out และยังไม่ล็อกสเปกสุดท้าย ➡️ ได้รับเงินลงทุน Series C จำนวน 220 ล้านดอลลาร์ ➡️ ได้รับคำสั่งซื้อ Prodigy มูลค่า 500 ล้านดอลลาร์จากนักลงทุนยุโรป ➡️ คาดว่าจะได้ซิลิคอนตัวแรกในต้นปี 2026 ➡️ การส่งมอบเชิงพาณิชย์น่าจะเริ่มกลางปี 2027 ➡️ อาจสอดคล้องกับแผนการ IPO ของบริษัท ➡️ ใช้เทคโนโลยีการผลิตระดับ 5nm-class จาก TSMC ➡️ การพัฒนา Prodigy ใช้เวลานานกว่า 10 ปี ‼️ คำเตือนจากข้อมูลข่าว ⛔ Prodigy ยังไม่เข้าสู่ tape-out ทำให้ประสิทธิภาพจริงยังไม่สามารถวัดได้ ⛔ การเปลี่ยนแปลงสเปกระหว่างการพัฒนาอาจทำให้ timeline ล่าช้า ⛔ การพึ่งพา TSMC อาจมีความเสี่ยงด้าน supply chain ⛔ การพัฒนา 10 ปีอาจทำให้เทคโนโลยีบางส่วนล้าสมัยเมื่อเปิดตัว ⛔ หาก validation ล่าช้า การส่งมอบอาจเลื่อนออกไปอีก ⛔ การตั้งเป้าประสิทธิภาพสูงเกินไปอาจไม่สอดคล้องกับความเป็นจริงเมื่อผลิตจริง https://www.tomshardware.com/pc-components/cpus/tachyums-general-purpose-prodigy-chip-delayed-again-now-with-256-cores-per-chiplet-and-a-usd500-million-purchase-order-from-eu-investor
    0 Comments 0 Shares 162 Views 0 Reviews
  • “Samsung เปิดตัว HBM4E ความเร็วทะลุ 3.25 TB/s” — ก้าวกระโดดครั้งใหญ่ของหน่วยความจำเพื่อ AI ยุคใหม่

    Samsung ประกาศความก้าวหน้าครั้งสำคัญในงาน Open Compute Project (OCP) Global Summit โดยเปิดตัวหน่วยความจำ HBM4E ที่สามารถทำความเร็วได้ถึง 13 Gbps ต่อ stack และให้แบนด์วิดธ์รวมสูงสุด 3.25 TB/s ซึ่งเร็วกว่า HBM3E ถึง 2.5 เท่า

    HBM4E ไม่เพียงแค่เร็วขึ้น แต่ยังมีประสิทธิภาพด้านพลังงานที่ดีกว่าเดิมถึงสองเท่า และใช้เทคโนโลยีการผลิตระดับ 4nm ที่ Samsung สามารถควบคุมได้เองผ่านแผนก foundry ทำให้สามารถกำหนดราคาที่แข่งขันได้เพื่อดึงดูดลูกค้าอย่าง NVIDIA และ AMD

    นอกจากนี้ Samsung ยังพัฒนา HBM4 ที่มีความเร็ว pin speed สูงถึง 11 Gbps ซึ่งเหนือกว่ามาตรฐาน JEDEC และตอบสนองต่อความต้องการของ NVIDIA ที่ต้องการหน่วยความจำความเร็วสูงสำหรับสถาปัตยกรรม Rubin

    Samsung วางแผนเริ่มผลิต HBM4 และ HBM4E ในช่วงต้นปี 2026 ซึ่งจะเป็นจุดเปลี่ยนสำคัญในอุตสาหกรรม AI และการประมวลผลประสิทธิภาพสูง โดยเฉพาะเมื่อคู่แข่งอย่าง SK hynix และ Micron กำลังเผชิญการแข่งขันที่รุนแรงขึ้นเรื่อย ๆ

    ข้อมูลในข่าว
    Samsung เปิดตัว HBM4E ที่มีแบนด์วิดธ์สูงสุด 3.25 TB/s
    ความเร็ว pin speed สูงสุด 13 Gbps ต่อ stack
    เร็วกว่า HBM3E ถึง 2.5 เท่า
    ประสิทธิภาพด้านพลังงานดีกว่าเดิมถึงสองเท่า
    ใช้เทคโนโลยีการผลิต 4nm ที่ Samsung ควบคุมเอง
    ตอบสนองต่อความต้องการของ NVIDIA สำหรับสถาปัตยกรรม Rubin
    HBM4 มีความเร็ว pin speed สูงถึง 11 Gbps
    เริ่มผลิต HBM4 และ HBM4E ในช่วงต้นปี 2026
    Samsung ตั้งเป้าเป็นผู้นำตลาด HBM โดยใช้กลยุทธ์ด้านราคาและเทคโนโลยี
    คู่แข่งอย่าง SK hynix และ Micron เผชิญการแข่งขันที่รุนแรง

    https://wccftech.com/samsung-hbm4e-set-to-deliver-a-significant-bandwidth/
    🚀 “Samsung เปิดตัว HBM4E ความเร็วทะลุ 3.25 TB/s” — ก้าวกระโดดครั้งใหญ่ของหน่วยความจำเพื่อ AI ยุคใหม่ Samsung ประกาศความก้าวหน้าครั้งสำคัญในงาน Open Compute Project (OCP) Global Summit โดยเปิดตัวหน่วยความจำ HBM4E ที่สามารถทำความเร็วได้ถึง 13 Gbps ต่อ stack และให้แบนด์วิดธ์รวมสูงสุด 3.25 TB/s ซึ่งเร็วกว่า HBM3E ถึง 2.5 เท่า HBM4E ไม่เพียงแค่เร็วขึ้น แต่ยังมีประสิทธิภาพด้านพลังงานที่ดีกว่าเดิมถึงสองเท่า และใช้เทคโนโลยีการผลิตระดับ 4nm ที่ Samsung สามารถควบคุมได้เองผ่านแผนก foundry ทำให้สามารถกำหนดราคาที่แข่งขันได้เพื่อดึงดูดลูกค้าอย่าง NVIDIA และ AMD นอกจากนี้ Samsung ยังพัฒนา HBM4 ที่มีความเร็ว pin speed สูงถึง 11 Gbps ซึ่งเหนือกว่ามาตรฐาน JEDEC และตอบสนองต่อความต้องการของ NVIDIA ที่ต้องการหน่วยความจำความเร็วสูงสำหรับสถาปัตยกรรม Rubin Samsung วางแผนเริ่มผลิต HBM4 และ HBM4E ในช่วงต้นปี 2026 ซึ่งจะเป็นจุดเปลี่ยนสำคัญในอุตสาหกรรม AI และการประมวลผลประสิทธิภาพสูง โดยเฉพาะเมื่อคู่แข่งอย่าง SK hynix และ Micron กำลังเผชิญการแข่งขันที่รุนแรงขึ้นเรื่อย ๆ ✅ ข้อมูลในข่าว ➡️ Samsung เปิดตัว HBM4E ที่มีแบนด์วิดธ์สูงสุด 3.25 TB/s ➡️ ความเร็ว pin speed สูงสุด 13 Gbps ต่อ stack ➡️ เร็วกว่า HBM3E ถึง 2.5 เท่า ➡️ ประสิทธิภาพด้านพลังงานดีกว่าเดิมถึงสองเท่า ➡️ ใช้เทคโนโลยีการผลิต 4nm ที่ Samsung ควบคุมเอง ➡️ ตอบสนองต่อความต้องการของ NVIDIA สำหรับสถาปัตยกรรม Rubin ➡️ HBM4 มีความเร็ว pin speed สูงถึง 11 Gbps ➡️ เริ่มผลิต HBM4 และ HBM4E ในช่วงต้นปี 2026 ➡️ Samsung ตั้งเป้าเป็นผู้นำตลาด HBM โดยใช้กลยุทธ์ด้านราคาและเทคโนโลยี ➡️ คู่แข่งอย่าง SK hynix และ Micron เผชิญการแข่งขันที่รุนแรง https://wccftech.com/samsung-hbm4e-set-to-deliver-a-significant-bandwidth/
    WCCFTECH.COM
    Samsung’s HBM4E Set to Deliver 3.25 TB/s Bandwidth; Nearly 2.5× Faster Than HBM3E, Driving AI Computing to New Levels
    Samsung has become one of the first HBM manufacturers to announce progress on HBM4E at the OCP, showcasing significant upgrades.
    0 Comments 0 Shares 177 Views 0 Reviews
  • “ทรานซิสเตอร์ — สิ่งประดิษฐ์ที่ถูกผลิตมากที่สุดในประวัติศาสตร์มนุษยชาติ”

    เมื่อพูดถึงสิ่งประดิษฐ์ที่มนุษย์ผลิตมากที่สุด หลายคนอาจนึกถึงล้อเกวียน, ตะปู, หรือแม้แต่ถุงเท้า แต่ความจริงแล้ว สิ่งที่ถูกผลิตมากที่สุดในโลกคือ “ทรานซิสเตอร์” — อุปกรณ์ขนาดเล็กที่เป็นหัวใจของอิเล็กทรอนิกส์ยุคใหม่

    ทรานซิสเตอร์ตัวแรกถูกสร้างขึ้นในปี 1947 โดย Bell Labs และมีขนาดใหญ่พอจะวางบนโต๊ะได้ แต่ปัจจุบันทรานซิสเตอร์มีขนาดเล็กกว่าเศษฝุ่น และถูกผลิตไปแล้วมากกว่า 13 เซ็กทิลเลียนตัว (13 ตามด้วยศูนย์อีก 21 ตัว) ระหว่างปี 1947 ถึง 2018 ซึ่งจำนวนนี้ยังคงเพิ่มขึ้นอย่างรวดเร็วทุกปี

    ทรานซิสเตอร์ทำหน้าที่เป็นสวิตช์สองสถานะ — เปิดหรือปิด — ซึ่งเป็นพื้นฐานของระบบเลขฐานสองที่ใช้ในคอมพิวเตอร์และอุปกรณ์ดิจิทัลทั้งหมด โดยทรานซิสเตอร์สมัยใหม่เป็นแบบ MOS (Metal-Oxide Semiconductor) ที่ใช้ซิลิคอนเป็นวัสดุหลัก และมีขนาดเล็กระดับนาโนเมตร เช่น 3–5 nm หรือแม้แต่ 1 nm ในบางกรณี

    ในคอมพิวเตอร์หนึ่งเครื่องอาจมีทรานซิสเตอร์หลายพันล้านตัว เช่น CPU รุ่นใหม่ของ Intel มีมากถึง 40 พันล้านตัว ขณะที่ชิปในปี 1971 มีเพียง 2,300 ตัวเท่านั้น ซึ่งแสดงให้เห็นถึงการพัฒนาอย่างก้าวกระโดดของเทคโนโลยีการผลิต

    แม้ขนาดของทรานซิสเตอร์จะใกล้เคียงกับอะตอมของซิลิคอน (0.2 nm) ซึ่งเป็นขีดจำกัดทางฟิสิกส์ แต่ยังมีความหวังในการใช้วัสดุใหม่ เช่น ทรานซิสเตอร์แบบ 2D หรือวัสดุเหนือธรรมดาอื่น ๆ เพื่อผลักดันขีดจำกัดนี้ให้ไกลออกไป

    อย่างไรก็ตาม หากโลกเปลี่ยนไปใช้ควอนตัมคอมพิวติ้งอย่างเต็มรูปแบบ ทรานซิสเตอร์อาจถูกแทนที่ด้วย “คิวบิต” ซึ่งเป็นหน่วยข้อมูลที่สามารถอยู่ในหลายสถานะพร้อมกันได้ — และนั่นอาจเป็นจุดสิ้นสุดของยุคทรานซิสเตอร์ที่ครองโลกมายาวนานกว่า 75 ปี

    ทรานซิสเตอร์เป็นสิ่งประดิษฐ์ที่ถูกผลิตมากที่สุดในโลก
    มากกว่า 13 เซ็กทิลเลียนตัวระหว่างปี 1947–2018

    ทรานซิสเตอร์ตัวแรกถูกสร้างโดย Bell Labs ในปี 1947
    เป็นแบบ point-contact transistor ขนาดใหญ่

    ทรานซิสเตอร์สมัยใหม่เป็นแบบ MOS ที่ใช้ซิลิคอน
    มีขนาดเล็กระดับ 3–5 nm หรือแม้แต่ 1 nm

    CPU รุ่นใหม่มีทรานซิสเตอร์มากถึง 40 พันล้านตัว
    เทียบกับชิป Intel ปี 1971 ที่มีเพียง 2,300 ตัว

    ทรานซิสเตอร์ทำหน้าที่เป็นสวิตช์สองสถานะ
    เป็นพื้นฐานของระบบเลขฐานสองในคอมพิวเตอร์

    มีความพยายามพัฒนา 2D transistors และวัสดุใหม่
    เพื่อผลักดันขีดจำกัดของขนาดและประสิทธิภาพ

    คอมพิวเตอร์และอุปกรณ์อิเล็กทรอนิกส์ทุกชนิดใช้ทรานซิสเตอร์
    เช่น CPU, RAM, GPU, SSD

    https://www.slashgear.com/1992406/about-most-produced-invention-in-the-world-transistors/
    🔌 “ทรานซิสเตอร์ — สิ่งประดิษฐ์ที่ถูกผลิตมากที่สุดในประวัติศาสตร์มนุษยชาติ” เมื่อพูดถึงสิ่งประดิษฐ์ที่มนุษย์ผลิตมากที่สุด หลายคนอาจนึกถึงล้อเกวียน, ตะปู, หรือแม้แต่ถุงเท้า แต่ความจริงแล้ว สิ่งที่ถูกผลิตมากที่สุดในโลกคือ “ทรานซิสเตอร์” — อุปกรณ์ขนาดเล็กที่เป็นหัวใจของอิเล็กทรอนิกส์ยุคใหม่ ทรานซิสเตอร์ตัวแรกถูกสร้างขึ้นในปี 1947 โดย Bell Labs และมีขนาดใหญ่พอจะวางบนโต๊ะได้ แต่ปัจจุบันทรานซิสเตอร์มีขนาดเล็กกว่าเศษฝุ่น และถูกผลิตไปแล้วมากกว่า 13 เซ็กทิลเลียนตัว (13 ตามด้วยศูนย์อีก 21 ตัว) ระหว่างปี 1947 ถึง 2018 ซึ่งจำนวนนี้ยังคงเพิ่มขึ้นอย่างรวดเร็วทุกปี ทรานซิสเตอร์ทำหน้าที่เป็นสวิตช์สองสถานะ — เปิดหรือปิด — ซึ่งเป็นพื้นฐานของระบบเลขฐานสองที่ใช้ในคอมพิวเตอร์และอุปกรณ์ดิจิทัลทั้งหมด โดยทรานซิสเตอร์สมัยใหม่เป็นแบบ MOS (Metal-Oxide Semiconductor) ที่ใช้ซิลิคอนเป็นวัสดุหลัก และมีขนาดเล็กระดับนาโนเมตร เช่น 3–5 nm หรือแม้แต่ 1 nm ในบางกรณี ในคอมพิวเตอร์หนึ่งเครื่องอาจมีทรานซิสเตอร์หลายพันล้านตัว เช่น CPU รุ่นใหม่ของ Intel มีมากถึง 40 พันล้านตัว ขณะที่ชิปในปี 1971 มีเพียง 2,300 ตัวเท่านั้น ซึ่งแสดงให้เห็นถึงการพัฒนาอย่างก้าวกระโดดของเทคโนโลยีการผลิต แม้ขนาดของทรานซิสเตอร์จะใกล้เคียงกับอะตอมของซิลิคอน (0.2 nm) ซึ่งเป็นขีดจำกัดทางฟิสิกส์ แต่ยังมีความหวังในการใช้วัสดุใหม่ เช่น ทรานซิสเตอร์แบบ 2D หรือวัสดุเหนือธรรมดาอื่น ๆ เพื่อผลักดันขีดจำกัดนี้ให้ไกลออกไป อย่างไรก็ตาม หากโลกเปลี่ยนไปใช้ควอนตัมคอมพิวติ้งอย่างเต็มรูปแบบ ทรานซิสเตอร์อาจถูกแทนที่ด้วย “คิวบิต” ซึ่งเป็นหน่วยข้อมูลที่สามารถอยู่ในหลายสถานะพร้อมกันได้ — และนั่นอาจเป็นจุดสิ้นสุดของยุคทรานซิสเตอร์ที่ครองโลกมายาวนานกว่า 75 ปี ✅ ทรานซิสเตอร์เป็นสิ่งประดิษฐ์ที่ถูกผลิตมากที่สุดในโลก ➡️ มากกว่า 13 เซ็กทิลเลียนตัวระหว่างปี 1947–2018 ✅ ทรานซิสเตอร์ตัวแรกถูกสร้างโดย Bell Labs ในปี 1947 ➡️ เป็นแบบ point-contact transistor ขนาดใหญ่ ✅ ทรานซิสเตอร์สมัยใหม่เป็นแบบ MOS ที่ใช้ซิลิคอน ➡️ มีขนาดเล็กระดับ 3–5 nm หรือแม้แต่ 1 nm ✅ CPU รุ่นใหม่มีทรานซิสเตอร์มากถึง 40 พันล้านตัว ➡️ เทียบกับชิป Intel ปี 1971 ที่มีเพียง 2,300 ตัว ✅ ทรานซิสเตอร์ทำหน้าที่เป็นสวิตช์สองสถานะ ➡️ เป็นพื้นฐานของระบบเลขฐานสองในคอมพิวเตอร์ ✅ มีความพยายามพัฒนา 2D transistors และวัสดุใหม่ ➡️ เพื่อผลักดันขีดจำกัดของขนาดและประสิทธิภาพ ✅ คอมพิวเตอร์และอุปกรณ์อิเล็กทรอนิกส์ทุกชนิดใช้ทรานซิสเตอร์ ➡️ เช่น CPU, RAM, GPU, SSD https://www.slashgear.com/1992406/about-most-produced-invention-in-the-world-transistors/
    WWW.SLASHGEAR.COM
    The Most Mass-Produced Invention In The World Isn't What You Think - SlashGear
    The humble transistor - smaller than a speck of dust — has been made more than any other invention in history, powering nearly all modern electronics.
    0 Comments 0 Shares 192 Views 0 Reviews
  • "Dimensity 9500: ชิปเรือธงราคาประหยัดที่แลกมาด้วยประสิทธิภาพที่ต้องพิจารณา"

    ลองจินตนาการว่าคุณเป็นผู้ผลิตสมาร์ตโฟน Android ที่ต้องเลือกชิปประมวลผลสำหรับรุ่นใหม่ในปี 2025 คุณมีตัวเลือกหลักสองตัว — Snapdragon 8 Elite Gen 5 จาก Qualcomm และ Dimensity 9500 จาก MediaTek ซึ่งใช้เทคโนโลยีการผลิตระดับ 3nm N3P เหมือนกัน แต่ราคาต่างกันอย่างมาก

    Dimensity 9500 เปิดราคามาเพียง $180–$200 ต่อหน่วย ขณะที่ Snapdragon 8 Elite Gen 5 พุ่งไปถึง $280 นั่นหมายความว่า MediaTek เสนอราคาถูกกว่าถึง 55% ซึ่งเป็นข้อได้เปรียบมหาศาลสำหรับผู้ผลิตที่ต้องการลดต้นทุนและเพิ่มกำไร

    แต่ราคาที่ถูกนั้นแลกมาด้วยข้อจำกัดบางอย่าง Dimensity 9500 ยังคงใช้ดีไซน์ CPU และ GPU จาก ARM ซึ่งช่วยลดต้นทุน แต่ก็ทำให้ประสิทธิภาพด้อยกว่าคู่แข่งที่ใช้คอร์แบบ custom เช่น Oryon ของ Qualcomm ที่พัฒนาเองภายในบริษัท

    จากการทดสอบ Geekbench 6 พบว่า Dimensity 9500 มีคะแนน multi-core ต่ำที่สุดเมื่อเทียบกับ Snapdragon 8 Elite Gen 5 และ Apple A19 Pro แถมยังใช้พลังงานมากกว่า ทำให้เกิดความร้อนสูง โดยเฉพาะในเกมที่ต้องใช้กราฟิกหนัก ๆ อย่างที่เห็นใน OnePlus 15 ที่ใช้ชิปนี้

    นอกจากนี้ Qualcomm ยังลงทุนซื้อบริษัท Nuvia มูลค่า $1.4 พันล้านดอลลาร์ เพื่อพัฒนาคอร์แบบ custom แข่งกับ Apple ซึ่งแสดงให้เห็นว่าการพัฒนาเทคโนโลยีภายในเป็นกลยุทธ์สำคัญในตลาดชิปสมาร์ตโฟนระดับสูง

    Dimensity 9500 ถูกกว่า Snapdragon 8 Elite Gen 5 อย่างมาก
    ราคาต่อหน่วยอยู่ที่ $180–$200 เทียบกับ $280 ของ Snapdragon
    ถูกกว่าถึง 55% ทำให้เป็นตัวเลือกที่น่าสนใจสำหรับผู้ผลิต Android

    MediaTek ใช้ดีไซน์จาก ARM เพื่อลดต้นทุน
    ไม่พัฒนาคอร์เองแบบ Qualcomm ที่ใช้ Oryon cores
    ช่วยลดค่าใช้จ่ายในการออกแบบและผลิต

    ประสิทธิภาพของ Dimensity 9500 ต่ำกว่าคู่แข่ง
    คะแนน multi-core ต่ำที่สุดในกลุ่มชิปเรือธง
    ใช้พลังงานสูงและเกิดความร้อนมากในสมาร์ตโฟน

    Qualcomm ลงทุนพัฒนาเทคโนโลยีภายใน
    ซื้อบริษัท Nuvia เพื่อสร้างคอร์ custom แข่งกับ Apple
    เป็นกลยุทธ์ระยะยาวเพื่อเพิ่มประสิทธิภาพและความแตกต่าง

    คำเตือนสำหรับผู้ผลิตที่เลือก Dimensity 9500
    แม้ราคาถูก แต่ประสิทธิภาพอาจไม่ตอบโจทย์การใช้งานหนัก
    ความร้อนสูงอาจส่งผลต่อประสบการณ์ผู้ใช้และอายุการใช้งานของเครื่อง
    การพึ่งพา ARM อาจทำให้ MediaTek เสียเปรียบในระยะยาว

    ถ้าคุณเป็นผู้ใช้งานทั่วไป การเลือกสมาร์ตโฟนที่ใช้ชิป Dimensity 9500 อาจช่วยประหยัดงบประมาณ แต่ถ้าคุณเน้นประสิทธิภาพสูงสุดและการเล่นเกมแบบจัดเต็ม Snapdragon 8 Elite Gen 5 ยังเป็นตัวเลือกที่เหนือกว่าในหลายด้าน.

    https://wccftech.com/dimensity-9500-more-than-50-percent-cheaper-than-the-snapdragon-8-elite-gen-5/
    📱 "Dimensity 9500: ชิปเรือธงราคาประหยัดที่แลกมาด้วยประสิทธิภาพที่ต้องพิจารณา" ลองจินตนาการว่าคุณเป็นผู้ผลิตสมาร์ตโฟน Android ที่ต้องเลือกชิปประมวลผลสำหรับรุ่นใหม่ในปี 2025 คุณมีตัวเลือกหลักสองตัว — Snapdragon 8 Elite Gen 5 จาก Qualcomm และ Dimensity 9500 จาก MediaTek ซึ่งใช้เทคโนโลยีการผลิตระดับ 3nm N3P เหมือนกัน แต่ราคาต่างกันอย่างมาก Dimensity 9500 เปิดราคามาเพียง $180–$200 ต่อหน่วย ขณะที่ Snapdragon 8 Elite Gen 5 พุ่งไปถึง $280 นั่นหมายความว่า MediaTek เสนอราคาถูกกว่าถึง 55% ซึ่งเป็นข้อได้เปรียบมหาศาลสำหรับผู้ผลิตที่ต้องการลดต้นทุนและเพิ่มกำไร แต่ราคาที่ถูกนั้นแลกมาด้วยข้อจำกัดบางอย่าง Dimensity 9500 ยังคงใช้ดีไซน์ CPU และ GPU จาก ARM ซึ่งช่วยลดต้นทุน แต่ก็ทำให้ประสิทธิภาพด้อยกว่าคู่แข่งที่ใช้คอร์แบบ custom เช่น Oryon ของ Qualcomm ที่พัฒนาเองภายในบริษัท จากการทดสอบ Geekbench 6 พบว่า Dimensity 9500 มีคะแนน multi-core ต่ำที่สุดเมื่อเทียบกับ Snapdragon 8 Elite Gen 5 และ Apple A19 Pro แถมยังใช้พลังงานมากกว่า ทำให้เกิดความร้อนสูง โดยเฉพาะในเกมที่ต้องใช้กราฟิกหนัก ๆ อย่างที่เห็นใน OnePlus 15 ที่ใช้ชิปนี้ นอกจากนี้ Qualcomm ยังลงทุนซื้อบริษัท Nuvia มูลค่า $1.4 พันล้านดอลลาร์ เพื่อพัฒนาคอร์แบบ custom แข่งกับ Apple ซึ่งแสดงให้เห็นว่าการพัฒนาเทคโนโลยีภายในเป็นกลยุทธ์สำคัญในตลาดชิปสมาร์ตโฟนระดับสูง ✅ Dimensity 9500 ถูกกว่า Snapdragon 8 Elite Gen 5 อย่างมาก ➡️ ราคาต่อหน่วยอยู่ที่ $180–$200 เทียบกับ $280 ของ Snapdragon ➡️ ถูกกว่าถึง 55% ทำให้เป็นตัวเลือกที่น่าสนใจสำหรับผู้ผลิต Android ✅ MediaTek ใช้ดีไซน์จาก ARM เพื่อลดต้นทุน ➡️ ไม่พัฒนาคอร์เองแบบ Qualcomm ที่ใช้ Oryon cores ➡️ ช่วยลดค่าใช้จ่ายในการออกแบบและผลิต ✅ ประสิทธิภาพของ Dimensity 9500 ต่ำกว่าคู่แข่ง ➡️ คะแนน multi-core ต่ำที่สุดในกลุ่มชิปเรือธง ➡️ ใช้พลังงานสูงและเกิดความร้อนมากในสมาร์ตโฟน ✅ Qualcomm ลงทุนพัฒนาเทคโนโลยีภายใน ➡️ ซื้อบริษัท Nuvia เพื่อสร้างคอร์ custom แข่งกับ Apple ➡️ เป็นกลยุทธ์ระยะยาวเพื่อเพิ่มประสิทธิภาพและความแตกต่าง ‼️ คำเตือนสำหรับผู้ผลิตที่เลือก Dimensity 9500 ⛔ แม้ราคาถูก แต่ประสิทธิภาพอาจไม่ตอบโจทย์การใช้งานหนัก ⛔ ความร้อนสูงอาจส่งผลต่อประสบการณ์ผู้ใช้และอายุการใช้งานของเครื่อง ⛔ การพึ่งพา ARM อาจทำให้ MediaTek เสียเปรียบในระยะยาว ถ้าคุณเป็นผู้ใช้งานทั่วไป การเลือกสมาร์ตโฟนที่ใช้ชิป Dimensity 9500 อาจช่วยประหยัดงบประมาณ แต่ถ้าคุณเน้นประสิทธิภาพสูงสุดและการเล่นเกมแบบจัดเต็ม Snapdragon 8 Elite Gen 5 ยังเป็นตัวเลือกที่เหนือกว่าในหลายด้าน. https://wccftech.com/dimensity-9500-more-than-50-percent-cheaper-than-the-snapdragon-8-elite-gen-5/
    WCCFTECH.COM
    Dimensity 9500 Is Estimated To Be More Than 50% Cheaper Than The Snapdragon 8 Elite Gen 5, Despite Using The Same 3nm N3P Process
    The estimated price of the Dimensity 9500 has come forth, since it is cheaper than the Snapdragon 8 Elite Gen 5, it will be preferred by Android phone makers
    0 Comments 0 Shares 175 Views 0 Reviews
  • “ASML ตั้ง Marco Pieters เป็น CTO คนใหม่ — ผู้นำเทคโนโลยีลิเธียกราฟีระดับโลกเตรียมขยายบอร์ดบริหารในปี 2026”

    ASML บริษัทผู้ผลิตเครื่องจักรลิเธียกราฟีสำหรับอุตสาหกรรมเซมิคอนดักเตอร์ที่ใหญ่ที่สุดในโลก ประกาศแต่งตั้ง Marco Pieters เป็นประธานเจ้าหน้าที่ฝ่ายเทคโนโลยี (CTO) คนใหม่ โดยมีผลทันทีในเดือนตุลาคม 2025 พร้อมเตรียมเสนอชื่อเขาเข้าสู่คณะกรรมการบริหารในเดือนเมษายน 2026

    Pieters เป็นบุคลากรที่อยู่กับ ASML มานานกว่า 25 ปี โดยก่อนหน้านี้ดำรงตำแหน่งรองประธานบริหารฝ่ายผลิตภัณฑ์ Applications และมีบทบาทสำคัญในการพัฒนาเทคโนโลยีลิเธียกราฟีแบบ Holistic Lithography ซึ่งเป็นหัวใจของการผลิตชิปความละเอียดสูงในยุคปัจจุบัน

    การแต่งตั้งครั้งนี้เป็นส่วนหนึ่งของแผนสืบทอดตำแหน่งที่วางไว้อย่างรอบคอบ โดย CEO Christophe Fouquet กล่าวว่า “Marco คือผู้นำที่มีความเข้าใจลึกซึ้งในเทคโนโลยีของเรา และจะเป็นกำลังสำคัญในการขับเคลื่อนแผนงานด้านเทคโนโลยีในอนาคต”

    นอกจากนี้ ASML ยังเตรียมเสนอการแต่งตั้ง Pieters เข้าสู่คณะกรรมการบริหารในการประชุม AGM วันที่ 22 เมษายน 2026 ซึ่งจะทำให้จำนวนสมาชิกเพิ่มจาก 5 เป็น 6 คน พร้อมกับการต่อวาระของ CFO Roger Dassen และ COO Frédéric Schneider-Maunoury เพื่อรักษาความต่อเนื่องของทีมบริหาร

    ASML มีบทบาทสำคัญในการผลักดันเทคโนโลยีการผลิตชิปทั่วโลก โดยเครื่อง EUV ของบริษัทมีราคาสูงถึง 400 ล้านดอลลาร์ต่อเครื่อง และถูกใช้โดยผู้ผลิตชิปรายใหญ่ เช่น TSMC, Intel และ Samsung

    ข้อมูลสำคัญจากข่าว
    ASML แต่งตั้ง Marco Pieters เป็น CTO คนใหม่ มีผลทันทีในเดือนตุลาคม 2025
    Pieters มีประสบการณ์กว่า 25 ปีใน ASML และเคยเป็น EVP ฝ่าย Applications
    เคยดูแลโครงการ Holistic Lithography ซึ่งเป็นเทคโนโลยีสำคัญของ ASML
    CEO Christophe Fouquet สนับสนุนการแต่งตั้งอย่างเต็มที่
    Pieters จะถูกเสนอชื่อเข้าสู่คณะกรรมการบริหารในการประชุม AGM วันที่ 22 เมษายน 2026
    คณะกรรมการจะขยายจาก 5 เป็น 6 คน
    CFO Roger Dassen จะต่อวาระอีก 4 ปี และ COO Frédéric Schneider-Maunoury อีก 2 ปี
    ASML เป็นผู้นำด้านเครื่องจักรลิเธียกราฟีสำหรับการผลิตชิประดับโลก

    ข้อมูลเสริมจากภายนอก
    Holistic Lithography คือการรวมการออกแบบ การตรวจสอบ และการผลิตชิปเข้าด้วยกันแบบครบวงจร
    เครื่อง EUV ของ ASML ใช้เลเซอร์พลังสูงในการสร้างลวดลายบนเวเฟอร์ซิลิคอน
    ASML มีสำนักงานทั่วโลก และมีพนักงานมากกว่า 44,000 คน
    บริษัทมีรายได้รวมกว่า €28.3 พันล้านยูโรในปี 2024
    การแต่งตั้ง CTO จากภายในองค์กรช่วยรักษาความต่อเนื่องและวัฒนธรรมองค์กร

    https://www.techpowerup.com/341731/asml-appoints-marco-pieters-as-next-chief-technology-officer
    🧠 “ASML ตั้ง Marco Pieters เป็น CTO คนใหม่ — ผู้นำเทคโนโลยีลิเธียกราฟีระดับโลกเตรียมขยายบอร์ดบริหารในปี 2026” ASML บริษัทผู้ผลิตเครื่องจักรลิเธียกราฟีสำหรับอุตสาหกรรมเซมิคอนดักเตอร์ที่ใหญ่ที่สุดในโลก ประกาศแต่งตั้ง Marco Pieters เป็นประธานเจ้าหน้าที่ฝ่ายเทคโนโลยี (CTO) คนใหม่ โดยมีผลทันทีในเดือนตุลาคม 2025 พร้อมเตรียมเสนอชื่อเขาเข้าสู่คณะกรรมการบริหารในเดือนเมษายน 2026 Pieters เป็นบุคลากรที่อยู่กับ ASML มานานกว่า 25 ปี โดยก่อนหน้านี้ดำรงตำแหน่งรองประธานบริหารฝ่ายผลิตภัณฑ์ Applications และมีบทบาทสำคัญในการพัฒนาเทคโนโลยีลิเธียกราฟีแบบ Holistic Lithography ซึ่งเป็นหัวใจของการผลิตชิปความละเอียดสูงในยุคปัจจุบัน การแต่งตั้งครั้งนี้เป็นส่วนหนึ่งของแผนสืบทอดตำแหน่งที่วางไว้อย่างรอบคอบ โดย CEO Christophe Fouquet กล่าวว่า “Marco คือผู้นำที่มีความเข้าใจลึกซึ้งในเทคโนโลยีของเรา และจะเป็นกำลังสำคัญในการขับเคลื่อนแผนงานด้านเทคโนโลยีในอนาคต” นอกจากนี้ ASML ยังเตรียมเสนอการแต่งตั้ง Pieters เข้าสู่คณะกรรมการบริหารในการประชุม AGM วันที่ 22 เมษายน 2026 ซึ่งจะทำให้จำนวนสมาชิกเพิ่มจาก 5 เป็น 6 คน พร้อมกับการต่อวาระของ CFO Roger Dassen และ COO Frédéric Schneider-Maunoury เพื่อรักษาความต่อเนื่องของทีมบริหาร ASML มีบทบาทสำคัญในการผลักดันเทคโนโลยีการผลิตชิปทั่วโลก โดยเครื่อง EUV ของบริษัทมีราคาสูงถึง 400 ล้านดอลลาร์ต่อเครื่อง และถูกใช้โดยผู้ผลิตชิปรายใหญ่ เช่น TSMC, Intel และ Samsung ✅ ข้อมูลสำคัญจากข่าว ➡️ ASML แต่งตั้ง Marco Pieters เป็น CTO คนใหม่ มีผลทันทีในเดือนตุลาคม 2025 ➡️ Pieters มีประสบการณ์กว่า 25 ปีใน ASML และเคยเป็น EVP ฝ่าย Applications ➡️ เคยดูแลโครงการ Holistic Lithography ซึ่งเป็นเทคโนโลยีสำคัญของ ASML ➡️ CEO Christophe Fouquet สนับสนุนการแต่งตั้งอย่างเต็มที่ ➡️ Pieters จะถูกเสนอชื่อเข้าสู่คณะกรรมการบริหารในการประชุม AGM วันที่ 22 เมษายน 2026 ➡️ คณะกรรมการจะขยายจาก 5 เป็น 6 คน ➡️ CFO Roger Dassen จะต่อวาระอีก 4 ปี และ COO Frédéric Schneider-Maunoury อีก 2 ปี ➡️ ASML เป็นผู้นำด้านเครื่องจักรลิเธียกราฟีสำหรับการผลิตชิประดับโลก ✅ ข้อมูลเสริมจากภายนอก ➡️ Holistic Lithography คือการรวมการออกแบบ การตรวจสอบ และการผลิตชิปเข้าด้วยกันแบบครบวงจร ➡️ เครื่อง EUV ของ ASML ใช้เลเซอร์พลังสูงในการสร้างลวดลายบนเวเฟอร์ซิลิคอน ➡️ ASML มีสำนักงานทั่วโลก และมีพนักงานมากกว่า 44,000 คน ➡️ บริษัทมีรายได้รวมกว่า €28.3 พันล้านยูโรในปี 2024 ➡️ การแต่งตั้ง CTO จากภายในองค์กรช่วยรักษาความต่อเนื่องและวัฒนธรรมองค์กร https://www.techpowerup.com/341731/asml-appoints-marco-pieters-as-next-chief-technology-officer
    WWW.TECHPOWERUP.COM
    ASML Appoints Marco Pieters as Next Chief Technology Officer
    ASML Holding NV (ASML) today announced the appointment of Marco Pieters as Executive Vice President and Chief Technology Officer, reporting to President and Chief Executive Officer, Christophe Fouquet. With over 25 years of experience at ASML, most recently as Executive Vice President for the produc...
    0 Comments 0 Shares 260 Views 0 Reviews
  • “AMD เปิดเกมรุก! ส่ง MI450 บนเทคโนโลยี 2nm ล้ำหน้า Nvidia — OpenAI เตรียมรับล็อตแรกกลางปีหน้า”

    AMD ประกาศเปิดตัว GPU รุ่นใหม่ Instinct MI450 ที่ใช้เทคโนโลยีการผลิตระดับ 2 นาโนเมตรจาก TSMC ซึ่งถือเป็นครั้งแรกที่ AMD ใช้กระบวนการผลิตระดับนี้กับชิป AI โดยจะเริ่มส่งมอบให้กับ OpenAI ในช่วงครึ่งหลังของปี 2026 ตามข้อตกลงความร่วมมือระยะยาวที่ครอบคลุมถึง 6 กิกะวัตต์ของกำลังประมวลผล

    MI450 ใช้สถาปัตยกรรม CDNA 5 และเป็น GPU ที่ออกแบบมาเพื่องาน AI โดยเฉพาะ รองรับรูปแบบข้อมูลและคำสั่งที่เหมาะกับการฝึกโมเดลขนาดใหญ่ โดยใช้เทคโนโลยี Gate-All-Around (GAA) ซึ่งช่วยเพิ่มประสิทธิภาพและลดการใช้พลังงานได้ถึง 25–30% เมื่อเทียบกับรุ่นก่อนหน้า

    ในด้านฮาร์ดแวร์ AMD เตรียมเปิดตัว Helios rack ที่บรรจุ MI450 ถึง 72 ตัว พร้อมหน่วยความจำ HBM4 ขนาด 51TB และแบนด์วิดธ์สูงถึง 1,400 TB/s ซึ่งเหนือกว่า Nvidia Rubin NVL144 ที่ใช้เทคโนโลยี 3nm และมี HBM4 เพียง 21TB กับแบนด์วิดธ์ 936 TB/s อย่างไรก็ตาม Nvidia ยังมีจุดแข็งด้าน FP4 performance ที่สูงกว่า (3,600 PFLOPS เทียบกับ 1,440 PFLOPS ของ AMD)

    ข้อตกลงกับ OpenAI ยังรวมถึงการออก warrant ให้ซื้อหุ้น AMD ได้สูงสุด 160 ล้านหุ้น โดยจะทยอย vest ตาม milestone เช่น การติดตั้งครบ 1 กิกะวัตต์ และการบรรลุเป้าหมายด้านเทคนิคและเชิงพาณิชย์

    Lisa Su ซีอีโอของ AMD ระบุว่า “นี่คือการรวมพลังของทั้งสองบริษัทเพื่อสร้างโครงสร้างพื้นฐาน AI ที่ใหญ่ที่สุดในโลก” ขณะที่ Sam Altman จาก OpenAI กล่าวว่า “AMD จะช่วยเราสร้างความก้าวหน้าใน AI ได้เร็วขึ้น และนำประโยชน์ไปสู่ทุกคน”

    ข้อมูลสำคัญจากข่าว
    AMD เปิดตัว Instinct MI450 บนเทคโนโลยี 2nm จาก TSMC
    ใช้สถาปัตยกรรม CDNA 5 และออกแบบมาเพื่องาน AI โดยเฉพาะ
    ใช้ Gate-All-Around (GAA) transistor เพื่อเพิ่มประสิทธิภาพ
    ลดการใช้พลังงานได้ 25–30% และเพิ่มความหนาแน่นของทรานซิสเตอร์ 15%
    Helios rack มี MI450 จำนวน 72 ตัว พร้อม HBM4 ขนาด 51TB และแบนด์วิดธ์ 1,400 TB/s
    Nvidia Rubin NVL144 มี HBM4 21TB และแบนด์วิดธ์ 936 TB/s แต่ FP4 performance สูงกว่า
    OpenAI จะได้รับ MI450 ล็อตแรกในครึ่งหลังของปี 2026
    ข้อตกลงรวมการออก warrant ให้ OpenAI ซื้อหุ้น AMD สูงสุด 160 ล้านหุ้น
    การ vest ขึ้นอยู่กับ milestone เช่น การติดตั้งครบ 1GW และเป้าหมายด้านเทคนิค

    ข้อมูลเสริมจากภายนอก
    TSMC N2 เป็นเทคโนโลยีการผลิตระดับ 2nm ที่ใช้ GAA transistor เป็นครั้งแรก
    GAA transistor ช่วยลด leakage และเพิ่มประสิทธิภาพในการออกแบบชิป
    HBM4 เป็นหน่วยความจำความเร็วสูงที่ใช้ในงาน AI และ HPC
    FP4 เป็นรูปแบบการประมวลผลที่ใช้ในการฝึกโมเดล LLM ขนาดใหญ่
    การออก warrant เป็นกลยุทธ์ทางการเงินที่ใช้สร้างพันธมิตรระยะยาว

    คำเตือนและข้อจำกัด
    MI450 ยังมี FP4 performance ต่ำกว่า Nvidia Rubin NVL144 อย่างชัดเจน
    การเชื่อมต่อแบบ UALink ยังไม่แน่นอนว่าจะ scale ได้ดีใน MI450
    การผลิตชิป 2nm มีความซับซ้อนและต้นทุนสูง อาจกระทบ timeline
    การ vest หุ้นของ OpenAI ขึ้นอยู่กับหลายเงื่อนไขที่อาจไม่บรรลุ
    การเปรียบเทียบประสิทธิภาพยังต้องรอผลการใช้งานจริงใน data center

    https://www.tomshardware.com/tech-industry/artificial-intelligence/amd-could-beat-nvidia-to-launching-ai-gpus-on-the-cutting-edge-2nm-node-instinct-mi450-is-officially-the-first-amd-gpu-to-launch-with-tsmcs-finest-tech
    ⚙️ “AMD เปิดเกมรุก! ส่ง MI450 บนเทคโนโลยี 2nm ล้ำหน้า Nvidia — OpenAI เตรียมรับล็อตแรกกลางปีหน้า” AMD ประกาศเปิดตัว GPU รุ่นใหม่ Instinct MI450 ที่ใช้เทคโนโลยีการผลิตระดับ 2 นาโนเมตรจาก TSMC ซึ่งถือเป็นครั้งแรกที่ AMD ใช้กระบวนการผลิตระดับนี้กับชิป AI โดยจะเริ่มส่งมอบให้กับ OpenAI ในช่วงครึ่งหลังของปี 2026 ตามข้อตกลงความร่วมมือระยะยาวที่ครอบคลุมถึง 6 กิกะวัตต์ของกำลังประมวลผล MI450 ใช้สถาปัตยกรรม CDNA 5 และเป็น GPU ที่ออกแบบมาเพื่องาน AI โดยเฉพาะ รองรับรูปแบบข้อมูลและคำสั่งที่เหมาะกับการฝึกโมเดลขนาดใหญ่ โดยใช้เทคโนโลยี Gate-All-Around (GAA) ซึ่งช่วยเพิ่มประสิทธิภาพและลดการใช้พลังงานได้ถึง 25–30% เมื่อเทียบกับรุ่นก่อนหน้า ในด้านฮาร์ดแวร์ AMD เตรียมเปิดตัว Helios rack ที่บรรจุ MI450 ถึง 72 ตัว พร้อมหน่วยความจำ HBM4 ขนาด 51TB และแบนด์วิดธ์สูงถึง 1,400 TB/s ซึ่งเหนือกว่า Nvidia Rubin NVL144 ที่ใช้เทคโนโลยี 3nm และมี HBM4 เพียง 21TB กับแบนด์วิดธ์ 936 TB/s อย่างไรก็ตาม Nvidia ยังมีจุดแข็งด้าน FP4 performance ที่สูงกว่า (3,600 PFLOPS เทียบกับ 1,440 PFLOPS ของ AMD) ข้อตกลงกับ OpenAI ยังรวมถึงการออก warrant ให้ซื้อหุ้น AMD ได้สูงสุด 160 ล้านหุ้น โดยจะทยอย vest ตาม milestone เช่น การติดตั้งครบ 1 กิกะวัตต์ และการบรรลุเป้าหมายด้านเทคนิคและเชิงพาณิชย์ Lisa Su ซีอีโอของ AMD ระบุว่า “นี่คือการรวมพลังของทั้งสองบริษัทเพื่อสร้างโครงสร้างพื้นฐาน AI ที่ใหญ่ที่สุดในโลก” ขณะที่ Sam Altman จาก OpenAI กล่าวว่า “AMD จะช่วยเราสร้างความก้าวหน้าใน AI ได้เร็วขึ้น และนำประโยชน์ไปสู่ทุกคน” ✅ ข้อมูลสำคัญจากข่าว ➡️ AMD เปิดตัว Instinct MI450 บนเทคโนโลยี 2nm จาก TSMC ➡️ ใช้สถาปัตยกรรม CDNA 5 และออกแบบมาเพื่องาน AI โดยเฉพาะ ➡️ ใช้ Gate-All-Around (GAA) transistor เพื่อเพิ่มประสิทธิภาพ ➡️ ลดการใช้พลังงานได้ 25–30% และเพิ่มความหนาแน่นของทรานซิสเตอร์ 15% ➡️ Helios rack มี MI450 จำนวน 72 ตัว พร้อม HBM4 ขนาด 51TB และแบนด์วิดธ์ 1,400 TB/s ➡️ Nvidia Rubin NVL144 มี HBM4 21TB และแบนด์วิดธ์ 936 TB/s แต่ FP4 performance สูงกว่า ➡️ OpenAI จะได้รับ MI450 ล็อตแรกในครึ่งหลังของปี 2026 ➡️ ข้อตกลงรวมการออก warrant ให้ OpenAI ซื้อหุ้น AMD สูงสุด 160 ล้านหุ้น ➡️ การ vest ขึ้นอยู่กับ milestone เช่น การติดตั้งครบ 1GW และเป้าหมายด้านเทคนิค ✅ ข้อมูลเสริมจากภายนอก ➡️ TSMC N2 เป็นเทคโนโลยีการผลิตระดับ 2nm ที่ใช้ GAA transistor เป็นครั้งแรก ➡️ GAA transistor ช่วยลด leakage และเพิ่มประสิทธิภาพในการออกแบบชิป ➡️ HBM4 เป็นหน่วยความจำความเร็วสูงที่ใช้ในงาน AI และ HPC ➡️ FP4 เป็นรูปแบบการประมวลผลที่ใช้ในการฝึกโมเดล LLM ขนาดใหญ่ ➡️ การออก warrant เป็นกลยุทธ์ทางการเงินที่ใช้สร้างพันธมิตรระยะยาว ‼️ คำเตือนและข้อจำกัด ⛔ MI450 ยังมี FP4 performance ต่ำกว่า Nvidia Rubin NVL144 อย่างชัดเจน ⛔ การเชื่อมต่อแบบ UALink ยังไม่แน่นอนว่าจะ scale ได้ดีใน MI450 ⛔ การผลิตชิป 2nm มีความซับซ้อนและต้นทุนสูง อาจกระทบ timeline ⛔ การ vest หุ้นของ OpenAI ขึ้นอยู่กับหลายเงื่อนไขที่อาจไม่บรรลุ ⛔ การเปรียบเทียบประสิทธิภาพยังต้องรอผลการใช้งานจริงใน data center https://www.tomshardware.com/tech-industry/artificial-intelligence/amd-could-beat-nvidia-to-launching-ai-gpus-on-the-cutting-edge-2nm-node-instinct-mi450-is-officially-the-first-amd-gpu-to-launch-with-tsmcs-finest-tech
    0 Comments 0 Shares 244 Views 0 Reviews
  • “จีนขยายการควบคุมแร่หายาก — พีซี ฮาร์ดดิสก์ และจอภาพทั่วโลกอาจสะดุดจากนโยบายใหม่”

    จีนประกาศขยายการควบคุมการส่งออกแร่หายาก (rare-earth elements) โดยเพิ่มรายการแร่และเทคโนโลยีการแปรรูปเข้าไปในข้อจำกัดใหม่ ซึ่งจะมีผลบังคับใช้ในเดือนธันวาคม 2025 โดยอ้างเหตุผลด้าน “ความมั่นคงแห่งชาติ” และจะไม่อนุญาตให้ส่งออกไปยังการใช้งานที่เกี่ยวข้องกับอุตสาหกรรมกลาโหมหรือเซมิคอนดักเตอร์

    แร่ที่ถูกควบคุมเพิ่มเติม ได้แก่ holmium, thulium, erbium, ytterbium รวมถึงความรู้ทางเทคนิคในการผลิตแม่เหล็กจากแร่เหล่านี้ ซึ่งเป็นหัวใจสำคัญของฮาร์ดแวร์หลายประเภท เช่น HDD, พัดลมระบายความร้อน, และจอภาพ LED/LCD ที่ใช้สารเรืองแสงจาก europium, terbium และ yttrium

    ภายใต้กฎใหม่ บริษัทต่างชาติจะต้องขออนุญาตจากรัฐบาลจีน หากแม่เหล็กที่ผลิตมีส่วนประกอบของแร่หายากจากจีน หรือใช้กระบวนการสกัดของจีน แม้จะเป็นเพียงปริมาณเล็กน้อยก็ตาม

    ผลกระทบที่ชัดเจนคือ HDD ความจุสูงที่ใช้แม่เหล็ก NdFeB (neodymium-iron-boron) ซึ่งต้องผสมกับ dysprosium หรือ praseodymium เพื่อให้ทนความร้อนได้ดี หากการส่งออกถูกจำกัด อาจทำให้ต้นทุนสูงขึ้นและการส่งมอบล่าช้า

    จอภาพก็ไม่รอด เพราะ LED และ LCD ใช้สารเรืองแสงที่ต้องอาศัย europium และ terbium ซึ่งอยู่ในรายการควบคุมใหม่เช่นกัน ส่วนกระบวนการขัดผิวเวเฟอร์ซิลิคอนที่ใช้ cerium oxide slurry ก็อาจได้รับผลกระทบหากจีนขยายข้อจำกัดไปถึงอุปกรณ์รีไซเคิลและแปรรูป

    Western Digital เริ่มโครงการรีไซเคิลแร่หายากจาก HDD ที่เลิกใช้งาน เพื่อรับมือกับสถานการณ์นี้โดยตรง ซึ่งสะท้อนถึงความกังวลที่เพิ่มขึ้นในอุตสาหกรรมพีซีและฮาร์ดแวร์ทั่วโลก

    ข้อมูลสำคัญจากข่าว
    จีนขยายข้อจำกัดการส่งออกแร่หายาก โดยมีผลในเดือนธันวาคม 20252
    แร่ที่ถูกควบคุมเพิ่ม ได้แก่ holmium, thulium, erbium, ytterbium และเทคโนโลยีการผลิตแม่เหล็ก
    บริษัทต่างชาติต้องขออนุญาตหากใช้แร่จากจีนหรือกระบวนการสกัดของจีน
    HDD ใช้แม่เหล็ก NdFeB ที่ต้องผสม dysprosium หรือ praseodymium เพื่อทนความร้อน
    LED และ LCD ใช้สารเรืองแสงจาก europium, terbium และ yttrium ซึ่งถูกควบคุม
    Cerium oxide slurry ที่ใช้ขัดเวเฟอร์ซิลิคอนอาจถูกกระทบหากข้อจำกัดขยาย
    Western Digital เริ่มโครงการรีไซเคิลแร่หายากจาก HDD ที่เลิกใช้งาน

    ข้อมูลเสริมจากภายนอก
    จีนครองสัดส่วนการผลิตแร่หายากกว่า 70% และการแปรรูปกว่า 90% ของโลก
    แม่เหล็ก NdFeB เป็นหัวใจของมอเตอร์ใน HDD, พัดลม, และอุปกรณ์อุตสาหกรรม
    สารเรืองแสงจากแร่หายากใช้ในจอภาพเพื่อให้สีสดและความสว่างสูง
    การขัดเวเฟอร์ซิลิคอนเป็นขั้นตอนสำคัญในการผลิตชิปและแผงวงจร
    การควบคุมแร่หายากเป็นเครื่องมือทางเศรษฐกิจและการทูตของจีน

    https://www.tomshardware.com/tech-industry/china-expands-rare-earth-export-controls
    🧲 “จีนขยายการควบคุมแร่หายาก — พีซี ฮาร์ดดิสก์ และจอภาพทั่วโลกอาจสะดุดจากนโยบายใหม่” จีนประกาศขยายการควบคุมการส่งออกแร่หายาก (rare-earth elements) โดยเพิ่มรายการแร่และเทคโนโลยีการแปรรูปเข้าไปในข้อจำกัดใหม่ ซึ่งจะมีผลบังคับใช้ในเดือนธันวาคม 2025 โดยอ้างเหตุผลด้าน “ความมั่นคงแห่งชาติ” และจะไม่อนุญาตให้ส่งออกไปยังการใช้งานที่เกี่ยวข้องกับอุตสาหกรรมกลาโหมหรือเซมิคอนดักเตอร์ แร่ที่ถูกควบคุมเพิ่มเติม ได้แก่ holmium, thulium, erbium, ytterbium รวมถึงความรู้ทางเทคนิคในการผลิตแม่เหล็กจากแร่เหล่านี้ ซึ่งเป็นหัวใจสำคัญของฮาร์ดแวร์หลายประเภท เช่น HDD, พัดลมระบายความร้อน, และจอภาพ LED/LCD ที่ใช้สารเรืองแสงจาก europium, terbium และ yttrium ภายใต้กฎใหม่ บริษัทต่างชาติจะต้องขออนุญาตจากรัฐบาลจีน หากแม่เหล็กที่ผลิตมีส่วนประกอบของแร่หายากจากจีน หรือใช้กระบวนการสกัดของจีน แม้จะเป็นเพียงปริมาณเล็กน้อยก็ตาม ผลกระทบที่ชัดเจนคือ HDD ความจุสูงที่ใช้แม่เหล็ก NdFeB (neodymium-iron-boron) ซึ่งต้องผสมกับ dysprosium หรือ praseodymium เพื่อให้ทนความร้อนได้ดี หากการส่งออกถูกจำกัด อาจทำให้ต้นทุนสูงขึ้นและการส่งมอบล่าช้า จอภาพก็ไม่รอด เพราะ LED และ LCD ใช้สารเรืองแสงที่ต้องอาศัย europium และ terbium ซึ่งอยู่ในรายการควบคุมใหม่เช่นกัน ส่วนกระบวนการขัดผิวเวเฟอร์ซิลิคอนที่ใช้ cerium oxide slurry ก็อาจได้รับผลกระทบหากจีนขยายข้อจำกัดไปถึงอุปกรณ์รีไซเคิลและแปรรูป Western Digital เริ่มโครงการรีไซเคิลแร่หายากจาก HDD ที่เลิกใช้งาน เพื่อรับมือกับสถานการณ์นี้โดยตรง ซึ่งสะท้อนถึงความกังวลที่เพิ่มขึ้นในอุตสาหกรรมพีซีและฮาร์ดแวร์ทั่วโลก ✅ ข้อมูลสำคัญจากข่าว ➡️ จีนขยายข้อจำกัดการส่งออกแร่หายาก โดยมีผลในเดือนธันวาคม 20252 ➡️ แร่ที่ถูกควบคุมเพิ่ม ได้แก่ holmium, thulium, erbium, ytterbium และเทคโนโลยีการผลิตแม่เหล็ก ➡️ บริษัทต่างชาติต้องขออนุญาตหากใช้แร่จากจีนหรือกระบวนการสกัดของจีน ➡️ HDD ใช้แม่เหล็ก NdFeB ที่ต้องผสม dysprosium หรือ praseodymium เพื่อทนความร้อน ➡️ LED และ LCD ใช้สารเรืองแสงจาก europium, terbium และ yttrium ซึ่งถูกควบคุม ➡️ Cerium oxide slurry ที่ใช้ขัดเวเฟอร์ซิลิคอนอาจถูกกระทบหากข้อจำกัดขยาย ➡️ Western Digital เริ่มโครงการรีไซเคิลแร่หายากจาก HDD ที่เลิกใช้งาน ✅ ข้อมูลเสริมจากภายนอก ➡️ จีนครองสัดส่วนการผลิตแร่หายากกว่า 70% และการแปรรูปกว่า 90% ของโลก ➡️ แม่เหล็ก NdFeB เป็นหัวใจของมอเตอร์ใน HDD, พัดลม, และอุปกรณ์อุตสาหกรรม ➡️ สารเรืองแสงจากแร่หายากใช้ในจอภาพเพื่อให้สีสดและความสว่างสูง ➡️ การขัดเวเฟอร์ซิลิคอนเป็นขั้นตอนสำคัญในการผลิตชิปและแผงวงจร ➡️ การควบคุมแร่หายากเป็นเครื่องมือทางเศรษฐกิจและการทูตของจีน https://www.tomshardware.com/tech-industry/china-expands-rare-earth-export-controls
    0 Comments 0 Shares 244 Views 0 Reviews
  • “Intel Panther Lake มาแน่ต้นปี 2026 — ประสิทธิภาพสูงขึ้น 50% พร้อมประหยัดพลังงานกว่าเดิม 30% ด้วยเทคโนโลยี 18A”

    Intel กำลังเตรียมเปิดตัวชิปตระกูลใหม่ “Panther Lake” สำหรับแพลตฟอร์มพกพาในต้นปี 2026 โดยถือเป็นผลิตภัณฑ์แรกของผู้บริโภคที่ใช้เทคโนโลยีการผลิตระดับ 18A ซึ่งเป็นกระบวนการผลิตทรานซิสเตอร์ขั้นสูงที่ Intel พัฒนาขึ้นเอง โดยมีเป้าหมายเพื่อพลิกสถานการณ์ในตลาดที่กำลังถูกคู่แข่งอย่าง AMD และ Apple แย่งส่วนแบ่งไปอย่างต่อเนื่อง

    จากรายงานของ Reuters และ Wccftech ชิป Panther Lake จะมีประสิทธิภาพด้านพลังงานสูงกว่า Lunar Lake รุ่นก่อนถึง 30% และสามารถประมวลผลข้อมูลได้เร็วขึ้นถึง 50% ในงานที่ใช้ทรัพยากรสูง เช่น การประมวลผลกราฟิกและข้อมูล AI

    แม้รายละเอียดเชิงเทคนิคจะยังไม่เปิดเผยทั้งหมด แต่ Intel ยืนยันว่าชิปใหม่นี้จะใช้พลังงานน้อยลง และมีการปรับปรุงทั้งในส่วนของกราฟิกและซีพียู โดยใช้สถาปัตยกรรมใหม่ที่ชื่อว่า Cougar Cove ซึ่งเป็นการต่อยอดจาก Arrow Lake H-series

    Panther Lake ยังถูกวางตำแหน่งให้เป็นชิประดับกลางถึงสูง ไม่ใช่ชิปประหยัดพลังงานแบบ Lunar Lake โดยคาดว่าจะมีค่า TDP อยู่ในช่วง 45W ซึ่งเหมาะกับโน้ตบุ๊กประสิทธิภาพสูงและอุปกรณ์พกพาที่ต้องการพลังในการประมวลผลมากขึ้น

    การเปิดตัวครั้งนี้ถือเป็นก้าวสำคัญของ Intel ในการกลับมาแข่งขันกับ TSMC และ Apple โดยเฉพาะในด้านประสิทธิภาพต่อวัตต์ ซึ่งเป็นจุดอ่อนของ Intel ในช่วงหลายปีที่ผ่านมา

    ข้อมูลสำคัญจากข่าว
    Intel เตรียมเปิดตัวชิป Panther Lake ต้นปี 2026 สำหรับแพลตฟอร์มพกพา
    ใช้เทคโนโลยีการผลิต 18A เป็นครั้งแรกในผลิตภัณฑ์ผู้บริโภค
    ประสิทธิภาพด้านพลังงานสูงกว่า Lunar Lake ถึง 30%
    ประสิทธิภาพการประมวลผลสูงขึ้น 50% ในงานข้อมูลหนัก
    ใช้สถาปัตยกรรม Cougar Cove ต่อจาก Arrow Lake H-series
    เหมาะกับโน้ตบุ๊กระดับกลางถึงสูง ไม่ใช่ชิปประหยัดพลังงาน
    คาดว่าจะมีค่า TDP ประมาณ 45W
    เป็นความพยายามของ Intel ในการกลับมาแข่งขันกับ TSMC และ Apple

    ข้อมูลเสริมจากภายนอก
    18A เป็นกระบวนการผลิตที่ใช้ RibbonFET และ PowerVia ซึ่งเป็นเทคโนโลยีใหม่ของ Intel
    Lunar Lake ใช้ TSMC N3B node ในการผลิต ทำให้มีประสิทธิภาพด้านพลังงานสูง
    Apple M4 ใช้สถาปัตยกรรม 3nm และมีประสิทธิภาพต่อวัตต์สูงมาก
    Cougar Cove มีการปรับปรุง branch predictor และ buffer ขนาดใหญ่ขึ้น
    Panther Lake อาจเป็นชิป x86 ที่เข้าใกล้ประสิทธิภาพของ Apple M-series มากที่สุด

    https://wccftech.com/intel-panther-lake-lineup-features-30-higher-power-efficiency-compared-to-lunar-lake/
    ⚙️ “Intel Panther Lake มาแน่ต้นปี 2026 — ประสิทธิภาพสูงขึ้น 50% พร้อมประหยัดพลังงานกว่าเดิม 30% ด้วยเทคโนโลยี 18A” Intel กำลังเตรียมเปิดตัวชิปตระกูลใหม่ “Panther Lake” สำหรับแพลตฟอร์มพกพาในต้นปี 2026 โดยถือเป็นผลิตภัณฑ์แรกของผู้บริโภคที่ใช้เทคโนโลยีการผลิตระดับ 18A ซึ่งเป็นกระบวนการผลิตทรานซิสเตอร์ขั้นสูงที่ Intel พัฒนาขึ้นเอง โดยมีเป้าหมายเพื่อพลิกสถานการณ์ในตลาดที่กำลังถูกคู่แข่งอย่าง AMD และ Apple แย่งส่วนแบ่งไปอย่างต่อเนื่อง จากรายงานของ Reuters และ Wccftech ชิป Panther Lake จะมีประสิทธิภาพด้านพลังงานสูงกว่า Lunar Lake รุ่นก่อนถึง 30% และสามารถประมวลผลข้อมูลได้เร็วขึ้นถึง 50% ในงานที่ใช้ทรัพยากรสูง เช่น การประมวลผลกราฟิกและข้อมูล AI แม้รายละเอียดเชิงเทคนิคจะยังไม่เปิดเผยทั้งหมด แต่ Intel ยืนยันว่าชิปใหม่นี้จะใช้พลังงานน้อยลง และมีการปรับปรุงทั้งในส่วนของกราฟิกและซีพียู โดยใช้สถาปัตยกรรมใหม่ที่ชื่อว่า Cougar Cove ซึ่งเป็นการต่อยอดจาก Arrow Lake H-series Panther Lake ยังถูกวางตำแหน่งให้เป็นชิประดับกลางถึงสูง ไม่ใช่ชิปประหยัดพลังงานแบบ Lunar Lake โดยคาดว่าจะมีค่า TDP อยู่ในช่วง 45W ซึ่งเหมาะกับโน้ตบุ๊กประสิทธิภาพสูงและอุปกรณ์พกพาที่ต้องการพลังในการประมวลผลมากขึ้น การเปิดตัวครั้งนี้ถือเป็นก้าวสำคัญของ Intel ในการกลับมาแข่งขันกับ TSMC และ Apple โดยเฉพาะในด้านประสิทธิภาพต่อวัตต์ ซึ่งเป็นจุดอ่อนของ Intel ในช่วงหลายปีที่ผ่านมา ✅ ข้อมูลสำคัญจากข่าว ➡️ Intel เตรียมเปิดตัวชิป Panther Lake ต้นปี 2026 สำหรับแพลตฟอร์มพกพา ➡️ ใช้เทคโนโลยีการผลิต 18A เป็นครั้งแรกในผลิตภัณฑ์ผู้บริโภค ➡️ ประสิทธิภาพด้านพลังงานสูงกว่า Lunar Lake ถึง 30% ➡️ ประสิทธิภาพการประมวลผลสูงขึ้น 50% ในงานข้อมูลหนัก ➡️ ใช้สถาปัตยกรรม Cougar Cove ต่อจาก Arrow Lake H-series ➡️ เหมาะกับโน้ตบุ๊กระดับกลางถึงสูง ไม่ใช่ชิปประหยัดพลังงาน ➡️ คาดว่าจะมีค่า TDP ประมาณ 45W ➡️ เป็นความพยายามของ Intel ในการกลับมาแข่งขันกับ TSMC และ Apple ✅ ข้อมูลเสริมจากภายนอก ➡️ 18A เป็นกระบวนการผลิตที่ใช้ RibbonFET และ PowerVia ซึ่งเป็นเทคโนโลยีใหม่ของ Intel ➡️ Lunar Lake ใช้ TSMC N3B node ในการผลิต ทำให้มีประสิทธิภาพด้านพลังงานสูง ➡️ Apple M4 ใช้สถาปัตยกรรม 3nm และมีประสิทธิภาพต่อวัตต์สูงมาก ➡️ Cougar Cove มีการปรับปรุง branch predictor และ buffer ขนาดใหญ่ขึ้น ➡️ Panther Lake อาจเป็นชิป x86 ที่เข้าใกล้ประสิทธิภาพของ Apple M-series มากที่สุด https://wccftech.com/intel-panther-lake-lineup-features-30-higher-power-efficiency-compared-to-lunar-lake/
    WCCFTECH.COM
    Intel's Next-Gen Panther Lake Lineup Features 30% Higher Power Efficiency Compared to Lunar Lake, Driven By 18A Adoption
    Details around Intel's Panther Lake have started to surface and the mobile platform will feature impressive performance per watt figures.
    0 Comments 0 Shares 204 Views 0 Reviews
  • “Applied Materials เปิดตัว 3 ระบบใหม่พลิกโฉมการผลิตชิป — รองรับ AI ยุค 2nm และ 3D อย่างเต็มรูปแบบ”

    Applied Materials บริษัทผู้พัฒนาเทคโนโลยีการผลิตเซมิคอนดักเตอร์ระดับโลก ได้เปิดตัวระบบใหม่ 3 รายการที่ออกแบบมาเพื่อยกระดับการผลิตชิปให้รองรับความต้องการของยุค AI โดยเน้นที่การเพิ่มประสิทธิภาพ ลดการใช้พลังงาน และรองรับโครงสร้างชิปที่ซับซ้อนมากขึ้น เช่น Gate-All-Around (GAA), DRAM ความเร็วสูง และการแพ็กเกจแบบ 3D

    1️⃣ ระบบแรกคือ Kinex Bonding System ซึ่งเป็นเครื่องไฮบริดบอนเดอร์แบบ die-to-wafer ตัวแรกของอุตสาหกรรม โดยร่วมพัฒนากับบริษัท Besi จากเนเธอร์แลนด์ ระบบนี้รวมขั้นตอนการบอนด์ทั้งหมดไว้ในเครื่องเดียว ทำให้สามารถจัดการแพ็กเกจแบบ multi-die ได้ดีขึ้น ลดระยะเวลาและความคลาดเคลื่อนในการประกอบ และรองรับการเชื่อมต่อแบบ copper-to-copper ที่แม่นยำและประหยัดพลังงาน

    2️⃣ ระบบที่สองคือ Centura Xtera Epi System สำหรับการสร้างทรานซิสเตอร์ GAA ที่ระดับ 2nm และต่ำกว่า โดยใช้กระบวนการ epitaxial deposition แบบใหม่ที่สามารถเติมวัสดุในร่องลึกของทรานซิสเตอร์ได้อย่างสม่ำเสมอ ลดการเกิดช่องว่างและเพิ่มความแม่นยำในการเติบโตของวัสดุถึง 40% พร้อมลดการใช้แก๊สลงครึ่งหนึ่งเมื่อเทียบกับระบบเดิม

    3️⃣ ระบบสุดท้ายคือ PROVision 10 eBeam Metrology System ซึ่งเป็นเครื่องวัดขนาดและภาพแบบอิเล็กตรอนที่ใช้เทคโนโลยี cold field emission (CFE) ทำให้สามารถสร้างภาพระดับ sub-nanometer ได้เร็วขึ้นถึง 10 เท่าเมื่อเทียบกับระบบเดิม รองรับการวัดโครงสร้าง 3D ที่ซับซ้อน เช่น DRAM รุ่นใหม่, 3D NAND และทรานซิสเตอร์แบบ backside power delivery

    ทั้งสามระบบนี้ถูกออกแบบมาเพื่อรองรับการผลิตชิปที่ใช้ในงาน AI โดยเฉพาะ เช่น GPU, HPC และระบบ edge computing ที่ต้องการประสิทธิภาพสูงและการจัดการพลังงานที่ดีเยี่ยม

    ข้อมูลสำคัญจากข่าว
    Applied Materials เปิดตัว 3 ระบบใหม่เพื่อการผลิตชิปยุค AI
    Kinex Bonding System เป็น die-to-wafer hybrid bonder ตัวแรกของอุตสาหกรรม
    ระบบ Kinex รวมขั้นตอนการบอนด์ทั้งหมดไว้ในเครื่องเดียว
    รองรับการเชื่อมต่อ copper-to-copper ที่แม่นยำและประหยัดพลังงาน
    Centura Xtera Epi System ใช้กระบวนการใหม่สำหรับทรานซิสเตอร์ GAA
    ลดการเกิดช่องว่างในร่องลึก และเพิ่มความแม่นยำในการเติบโตของวัสดุ 40%
    ลดการใช้แก๊สลง 50% เมื่อเทียบกับระบบเดิม
    PROVision 10 ใช้เทคโนโลยี cold field emission (CFE)
    สร้างภาพระดับ sub-nanometer ได้เร็วขึ้น 10 เท่า
    รองรับการวัดโครงสร้าง 3D เช่น DRAM, 3D NAND และ GAA

    ข้อมูลเสริมจากภายนอก
    GAA ทรานซิสเตอร์เป็นเทคโนโลยีหลักในชิประดับ 2nm ที่ใช้ใน AI และ HPC
    Hybrid bonding เป็นเทคนิคที่ใช้ในการเชื่อมชิปหลายตัวเข้าด้วยกันแบบ 3D
    Cold field emission ให้ความละเอียดสูงกว่า thermal field emission ที่ใช้ทั่วไป
    การวัดแบบ eBeam ช่วยตรวจสอบความคลาดเคลื่อนในระดับนาโนได้แม่นยำ
    Applied Materials เป็นผู้ผลิตอุปกรณ์ในโรงงานชิปที่ใหญ่ที่สุดในโลก

    https://www.techpowerup.com/341672/applied-materials-unveils-next-gen-chipmaking-products
    🔬 “Applied Materials เปิดตัว 3 ระบบใหม่พลิกโฉมการผลิตชิป — รองรับ AI ยุค 2nm และ 3D อย่างเต็มรูปแบบ” Applied Materials บริษัทผู้พัฒนาเทคโนโลยีการผลิตเซมิคอนดักเตอร์ระดับโลก ได้เปิดตัวระบบใหม่ 3 รายการที่ออกแบบมาเพื่อยกระดับการผลิตชิปให้รองรับความต้องการของยุค AI โดยเน้นที่การเพิ่มประสิทธิภาพ ลดการใช้พลังงาน และรองรับโครงสร้างชิปที่ซับซ้อนมากขึ้น เช่น Gate-All-Around (GAA), DRAM ความเร็วสูง และการแพ็กเกจแบบ 3D 1️⃣ ระบบแรกคือ Kinex Bonding System ซึ่งเป็นเครื่องไฮบริดบอนเดอร์แบบ die-to-wafer ตัวแรกของอุตสาหกรรม โดยร่วมพัฒนากับบริษัท Besi จากเนเธอร์แลนด์ ระบบนี้รวมขั้นตอนการบอนด์ทั้งหมดไว้ในเครื่องเดียว ทำให้สามารถจัดการแพ็กเกจแบบ multi-die ได้ดีขึ้น ลดระยะเวลาและความคลาดเคลื่อนในการประกอบ และรองรับการเชื่อมต่อแบบ copper-to-copper ที่แม่นยำและประหยัดพลังงาน 2️⃣ ระบบที่สองคือ Centura Xtera Epi System สำหรับการสร้างทรานซิสเตอร์ GAA ที่ระดับ 2nm และต่ำกว่า โดยใช้กระบวนการ epitaxial deposition แบบใหม่ที่สามารถเติมวัสดุในร่องลึกของทรานซิสเตอร์ได้อย่างสม่ำเสมอ ลดการเกิดช่องว่างและเพิ่มความแม่นยำในการเติบโตของวัสดุถึง 40% พร้อมลดการใช้แก๊สลงครึ่งหนึ่งเมื่อเทียบกับระบบเดิม 3️⃣ ระบบสุดท้ายคือ PROVision 10 eBeam Metrology System ซึ่งเป็นเครื่องวัดขนาดและภาพแบบอิเล็กตรอนที่ใช้เทคโนโลยี cold field emission (CFE) ทำให้สามารถสร้างภาพระดับ sub-nanometer ได้เร็วขึ้นถึง 10 เท่าเมื่อเทียบกับระบบเดิม รองรับการวัดโครงสร้าง 3D ที่ซับซ้อน เช่น DRAM รุ่นใหม่, 3D NAND และทรานซิสเตอร์แบบ backside power delivery ทั้งสามระบบนี้ถูกออกแบบมาเพื่อรองรับการผลิตชิปที่ใช้ในงาน AI โดยเฉพาะ เช่น GPU, HPC และระบบ edge computing ที่ต้องการประสิทธิภาพสูงและการจัดการพลังงานที่ดีเยี่ยม ✅ ข้อมูลสำคัญจากข่าว ➡️ Applied Materials เปิดตัว 3 ระบบใหม่เพื่อการผลิตชิปยุค AI ➡️ Kinex Bonding System เป็น die-to-wafer hybrid bonder ตัวแรกของอุตสาหกรรม ➡️ ระบบ Kinex รวมขั้นตอนการบอนด์ทั้งหมดไว้ในเครื่องเดียว ➡️ รองรับการเชื่อมต่อ copper-to-copper ที่แม่นยำและประหยัดพลังงาน ➡️ Centura Xtera Epi System ใช้กระบวนการใหม่สำหรับทรานซิสเตอร์ GAA ➡️ ลดการเกิดช่องว่างในร่องลึก และเพิ่มความแม่นยำในการเติบโตของวัสดุ 40% ➡️ ลดการใช้แก๊สลง 50% เมื่อเทียบกับระบบเดิม ➡️ PROVision 10 ใช้เทคโนโลยี cold field emission (CFE) ➡️ สร้างภาพระดับ sub-nanometer ได้เร็วขึ้น 10 เท่า ➡️ รองรับการวัดโครงสร้าง 3D เช่น DRAM, 3D NAND และ GAA ✅ ข้อมูลเสริมจากภายนอก ➡️ GAA ทรานซิสเตอร์เป็นเทคโนโลยีหลักในชิประดับ 2nm ที่ใช้ใน AI และ HPC ➡️ Hybrid bonding เป็นเทคนิคที่ใช้ในการเชื่อมชิปหลายตัวเข้าด้วยกันแบบ 3D ➡️ Cold field emission ให้ความละเอียดสูงกว่า thermal field emission ที่ใช้ทั่วไป ➡️ การวัดแบบ eBeam ช่วยตรวจสอบความคลาดเคลื่อนในระดับนาโนได้แม่นยำ ➡️ Applied Materials เป็นผู้ผลิตอุปกรณ์ในโรงงานชิปที่ใหญ่ที่สุดในโลก https://www.techpowerup.com/341672/applied-materials-unveils-next-gen-chipmaking-products
    WWW.TECHPOWERUP.COM
    Applied Materials Unveils Next-Gen Chipmaking Products
    Applied Materials, Inc. today introduced new semiconductor manufacturing systems that boost the performance of advanced logic and memory chips foundational to AI computing. The new products target three critical areas in the race to deliver ever more powerful AI chips: leading-edge logic including G...
    0 Comments 0 Shares 235 Views 0 Reviews
  • “ทำไมเครื่องบินยังใช้หมุดย้ำเป็นล้านตัว — ในวันที่รถยนต์เชื่อมด้วยการเชื่อมโลหะ”

    หากคุณเคยสังเกตเครื่องบินโดยสารอย่าง Airbus A320 จะเห็นว่าลำตัวและปีกของมันเต็มไปด้วยหมุดย้ำ (rivets) นับล้านตัว ต่างจากรถยนต์ที่ใช้การเชื่อมโลหะ (welding) เพื่อประกอบโครงสร้างให้เรียบเนียนและแข็งแรง แล้วทำไมอุตสาหกรรมการบินยังคงใช้เทคนิคที่เก่าแก่กว่า 5,000 ปีนี้อยู่?

    คำตอบคือ “ความเหมาะสมกับวัสดุและความปลอดภัย” — เครื่องบินส่วนใหญ่ใช้แผ่นอลูมิเนียมเป็นโครงสร้างหลัก ซึ่งมีคุณสมบัติเบา แข็งแรง ทนต่อการกัดกร่อน และราคาถูก แต่อลูมิเนียมกลับมีข้อเสียคือ “เชื่อมยาก” เพราะเมื่อโดนความร้อนจะสูญเสียความแข็งแรง และบางครั้งแผ่นอลูมิเนียมก็หนาเกินกว่าจะเชื่อมได้อย่างปลอดภัย

    หมุดย้ำจึงกลายเป็นทางเลือกที่ดีกว่า เพราะสามารถเชื่อมวัสดุจากด้านใน ไม่ใช่แค่ผิวภายนอก ทำให้โครงสร้างแข็งแรงกว่า และยังตรวจสอบได้ง่ายด้วยสายตา ต่างจากรอยเชื่อมที่ต้องใช้เครื่องมือพิเศษในการตรวจสอบ นอกจากนี้หมุดย้ำยังช่วยให้การซ่อมบำรุงง่ายขึ้น เพราะสามารถถอดและเปลี่ยนเฉพาะจุดได้โดยไม่กระทบโครงสร้างทั้งหมด

    แม้เทคโนโลยีการผลิตจะพัฒนาไปมาก แต่พื้นฐานของการใช้หมุดย้ำยังคงเหมือนเดิม — หัวหมุดและแกนเรียบที่เชื่อมวัสดุสองชิ้นเข้าด้วยกันอย่างแน่นหนา และยังคงเป็นหัวใจของความปลอดภัยในการบินที่สถิติยังยืนยันว่า “เครื่องบินคือวิธีเดินทางที่ปลอดภัยที่สุด”

    ข้อมูลสำคัญจากข่าว
    เครื่องบินโดยสารยังใช้หมุดย้ำในการประกอบโครงสร้าง เช่น Airbus A320
    รถยนต์ใช้การเชื่อมโลหะเพื่อสร้างโครงสร้างแบบ unibody ที่เรียบและแข็งแรง
    หมุดย้ำเชื่อมวัสดุจากด้านใน ทำให้แข็งแรงกว่าการเชื่อมภายนอก
    อลูมิเนียมเป็นวัสดุหลักของเครื่องบิน เพราะเบา ทน และราคาถูก
    อลูมิเนียมมีข้อเสียคือเชื่อมยาก และสูญเสียความแข็งแรงเมื่อโดนความร้อน
    หมุดย้ำช่วยให้ตรวจสอบและซ่อมบำรุงได้ง่ายกว่ารอยเชื่อม
    การใช้หมุดย้ำยังคงเป็นมาตรฐานในอุตสาหกรรมการบิน
    เครื่องบินยังคงเป็นวิธีเดินทางที่ปลอดภัยที่สุดตามสถิติ

    https://www.slashgear.com/1983629/why-airplanes-use-rivets-but-cars-are-welded/
    ✈️ “ทำไมเครื่องบินยังใช้หมุดย้ำเป็นล้านตัว — ในวันที่รถยนต์เชื่อมด้วยการเชื่อมโลหะ” หากคุณเคยสังเกตเครื่องบินโดยสารอย่าง Airbus A320 จะเห็นว่าลำตัวและปีกของมันเต็มไปด้วยหมุดย้ำ (rivets) นับล้านตัว ต่างจากรถยนต์ที่ใช้การเชื่อมโลหะ (welding) เพื่อประกอบโครงสร้างให้เรียบเนียนและแข็งแรง แล้วทำไมอุตสาหกรรมการบินยังคงใช้เทคนิคที่เก่าแก่กว่า 5,000 ปีนี้อยู่? คำตอบคือ “ความเหมาะสมกับวัสดุและความปลอดภัย” — เครื่องบินส่วนใหญ่ใช้แผ่นอลูมิเนียมเป็นโครงสร้างหลัก ซึ่งมีคุณสมบัติเบา แข็งแรง ทนต่อการกัดกร่อน และราคาถูก แต่อลูมิเนียมกลับมีข้อเสียคือ “เชื่อมยาก” เพราะเมื่อโดนความร้อนจะสูญเสียความแข็งแรง และบางครั้งแผ่นอลูมิเนียมก็หนาเกินกว่าจะเชื่อมได้อย่างปลอดภัย หมุดย้ำจึงกลายเป็นทางเลือกที่ดีกว่า เพราะสามารถเชื่อมวัสดุจากด้านใน ไม่ใช่แค่ผิวภายนอก ทำให้โครงสร้างแข็งแรงกว่า และยังตรวจสอบได้ง่ายด้วยสายตา ต่างจากรอยเชื่อมที่ต้องใช้เครื่องมือพิเศษในการตรวจสอบ นอกจากนี้หมุดย้ำยังช่วยให้การซ่อมบำรุงง่ายขึ้น เพราะสามารถถอดและเปลี่ยนเฉพาะจุดได้โดยไม่กระทบโครงสร้างทั้งหมด แม้เทคโนโลยีการผลิตจะพัฒนาไปมาก แต่พื้นฐานของการใช้หมุดย้ำยังคงเหมือนเดิม — หัวหมุดและแกนเรียบที่เชื่อมวัสดุสองชิ้นเข้าด้วยกันอย่างแน่นหนา และยังคงเป็นหัวใจของความปลอดภัยในการบินที่สถิติยังยืนยันว่า “เครื่องบินคือวิธีเดินทางที่ปลอดภัยที่สุด” ✅ ข้อมูลสำคัญจากข่าว ➡️ เครื่องบินโดยสารยังใช้หมุดย้ำในการประกอบโครงสร้าง เช่น Airbus A320 ➡️ รถยนต์ใช้การเชื่อมโลหะเพื่อสร้างโครงสร้างแบบ unibody ที่เรียบและแข็งแรง ➡️ หมุดย้ำเชื่อมวัสดุจากด้านใน ทำให้แข็งแรงกว่าการเชื่อมภายนอก ➡️ อลูมิเนียมเป็นวัสดุหลักของเครื่องบิน เพราะเบา ทน และราคาถูก ➡️ อลูมิเนียมมีข้อเสียคือเชื่อมยาก และสูญเสียความแข็งแรงเมื่อโดนความร้อน ➡️ หมุดย้ำช่วยให้ตรวจสอบและซ่อมบำรุงได้ง่ายกว่ารอยเชื่อม ➡️ การใช้หมุดย้ำยังคงเป็นมาตรฐานในอุตสาหกรรมการบิน ➡️ เครื่องบินยังคงเป็นวิธีเดินทางที่ปลอดภัยที่สุดตามสถิติ https://www.slashgear.com/1983629/why-airplanes-use-rivets-but-cars-are-welded/
    WWW.SLASHGEAR.COM
    Why Airplanes Still Use Millions Of Rivets (But Cars Are Welded) - SlashGear
    Modern commercial aircraft still use rivets for assembly because they're more suitable for the aluminum panels that planes use.
    0 Comments 0 Shares 233 Views 0 Reviews
  • “AMD อาจผลิตชิปที่โรงงาน Intel — คู่แข่งเก่ากำลังกลายเป็นพันธมิตรใหม่ในยุคแห่งความมั่นคงด้านเทคโนโลยี”

    ในความเคลื่อนไหวที่อาจเปลี่ยนโฉมอุตสาหกรรมเซมิคอนดักเตอร์ Intel และ AMD กำลังอยู่ในช่วงเจรจาเบื้องต้นเพื่อให้ AMD กลายเป็นลูกค้าของ Intel Foundry ซึ่งเป็นธุรกิจผลิตชิปตามสั่งของ Intel ที่กำลังพยายามฟื้นตัวจากการตามหลัง TSMC มาหลายปี

    แม้ AMD จะยังพึ่งพา TSMC เป็นหลักในการผลิตชิปประสิทธิภาพสูง เช่น Ryzen และ EPYC แต่การเจรจากับ Intel ครั้งนี้สะท้อนถึงแรงกดดันจากรัฐบาลสหรัฐฯ ที่ต้องการให้บริษัทอเมริกันผลิตชิปภายในประเทศมากขึ้น โดยมีเป้าหมายให้ 50% ของชิปที่ใช้ในสหรัฐฯ ถูกผลิตในประเทศ เพื่อความมั่นคงของห่วงโซ่อุปทานและลดการพึ่งพาไต้หวัน

    Intel ได้รับแรงสนับสนุนจากหลายฝ่ายในช่วงไม่กี่เดือนที่ผ่านมา ทั้งการลงทุนจาก SoftBank มูลค่า 2 พันล้านดอลลาร์ การเข้าถือหุ้น 9.9% โดยรัฐบาลสหรัฐฯ และการร่วมมือกับ Nvidia ในการพัฒนาชิป x86 ที่ใช้ GPU ของ Nvidia ซึ่งรวมถึงการลงทุน 5 พันล้านดอลลาร์จาก Nvidia ด้วย

    หาก AMD ตกลงร่วมมือกับ Intel จริง จะถือเป็นการยืนยันวิสัยทัศน์ของอดีต CEO Pat Gelsinger ที่เคยประกาศว่า Intel ต้องการผลิตชิปให้กับทุกบริษัทเทคโนโลยีรายใหญ่ แม้จะเป็นคู่แข่งโดยตรงก็ตาม

    อย่างไรก็ตาม ยังไม่มีรายละเอียดว่าชิปประเภทใดของ AMD จะถูกผลิตที่ Intel และ Intel เองก็ยังไม่มีเทคโนโลยีที่สามารถผลิตชิประดับสูงสุดของ AMD ได้ในตอนนี้ เช่น ชิปที่ใช้กระบวนการผลิตระดับ 3nm หรือ 4nm ของ TSMC

    ข้อมูลสำคัญจากข่าว
    Intel และ AMD กำลังเจรจาเบื้องต้นเพื่อให้ AMD เป็นลูกค้า Intel Foundry
    AMD ปัจจุบันผลิตชิปที่ TSMC แต่กำลังพิจารณาทางเลือกในสหรัฐฯ
    รัฐบาลสหรัฐฯ ต้องการให้ 50% ของชิปที่ใช้ในประเทศถูกผลิตในประเทศ
    Intel ได้รับการลงทุนจาก SoftBank, Nvidia และรัฐบาลสหรัฐฯ
    Nvidia ลงทุน 5 พันล้านดอลลาร์ใน Intel และร่วมพัฒนาชิป x86 ร่วมกัน
    หาก AMD ตกลง จะเป็นการยืนยันวิสัยทัศน์ของอดีต CEO Pat Gelsinger
    Intel กำลังมองหาลูกค้ารายใหญ่เพื่อสนับสนุนเทคโนโลยี 14A และ 18A
    การร่วมมืออาจช่วยให้ AMD ลดความเสี่ยงจากข้อจำกัดการส่งออกไปจีน

    ข้อมูลเสริมจากภายนอก
    Intel Foundry ยังตามหลัง TSMC ในด้านเทคโนโลยีการผลิตขั้นสูง
    AMD เคยได้รับผลกระทบจากข้อจำกัดการส่งออก GPU ไปจีนในปี 2025
    การผลิตชิปในสหรัฐฯ อาจช่วยให้ AMD ได้รับการสนับสนุนจากรัฐบาล
    Nvidia และ Apple ก็อยู่ระหว่างเจรจาเพื่อใช้ Intel Foundry เช่นกัน
    การผลิตชิประดับกลางในสหรัฐฯ อาจเป็นจุดเริ่มต้นก่อนขยายไปสู่ชิประดับสูง

    https://www.tomshardware.com/pc-components/cpus/amd-in-early-talks-to-make-chips-at-intel-foundry-report-says
    🤝 “AMD อาจผลิตชิปที่โรงงาน Intel — คู่แข่งเก่ากำลังกลายเป็นพันธมิตรใหม่ในยุคแห่งความมั่นคงด้านเทคโนโลยี” ในความเคลื่อนไหวที่อาจเปลี่ยนโฉมอุตสาหกรรมเซมิคอนดักเตอร์ Intel และ AMD กำลังอยู่ในช่วงเจรจาเบื้องต้นเพื่อให้ AMD กลายเป็นลูกค้าของ Intel Foundry ซึ่งเป็นธุรกิจผลิตชิปตามสั่งของ Intel ที่กำลังพยายามฟื้นตัวจากการตามหลัง TSMC มาหลายปี แม้ AMD จะยังพึ่งพา TSMC เป็นหลักในการผลิตชิปประสิทธิภาพสูง เช่น Ryzen และ EPYC แต่การเจรจากับ Intel ครั้งนี้สะท้อนถึงแรงกดดันจากรัฐบาลสหรัฐฯ ที่ต้องการให้บริษัทอเมริกันผลิตชิปภายในประเทศมากขึ้น โดยมีเป้าหมายให้ 50% ของชิปที่ใช้ในสหรัฐฯ ถูกผลิตในประเทศ เพื่อความมั่นคงของห่วงโซ่อุปทานและลดการพึ่งพาไต้หวัน Intel ได้รับแรงสนับสนุนจากหลายฝ่ายในช่วงไม่กี่เดือนที่ผ่านมา ทั้งการลงทุนจาก SoftBank มูลค่า 2 พันล้านดอลลาร์ การเข้าถือหุ้น 9.9% โดยรัฐบาลสหรัฐฯ และการร่วมมือกับ Nvidia ในการพัฒนาชิป x86 ที่ใช้ GPU ของ Nvidia ซึ่งรวมถึงการลงทุน 5 พันล้านดอลลาร์จาก Nvidia ด้วย หาก AMD ตกลงร่วมมือกับ Intel จริง จะถือเป็นการยืนยันวิสัยทัศน์ของอดีต CEO Pat Gelsinger ที่เคยประกาศว่า Intel ต้องการผลิตชิปให้กับทุกบริษัทเทคโนโลยีรายใหญ่ แม้จะเป็นคู่แข่งโดยตรงก็ตาม อย่างไรก็ตาม ยังไม่มีรายละเอียดว่าชิปประเภทใดของ AMD จะถูกผลิตที่ Intel และ Intel เองก็ยังไม่มีเทคโนโลยีที่สามารถผลิตชิประดับสูงสุดของ AMD ได้ในตอนนี้ เช่น ชิปที่ใช้กระบวนการผลิตระดับ 3nm หรือ 4nm ของ TSMC ✅ ข้อมูลสำคัญจากข่าว ➡️ Intel และ AMD กำลังเจรจาเบื้องต้นเพื่อให้ AMD เป็นลูกค้า Intel Foundry ➡️ AMD ปัจจุบันผลิตชิปที่ TSMC แต่กำลังพิจารณาทางเลือกในสหรัฐฯ ➡️ รัฐบาลสหรัฐฯ ต้องการให้ 50% ของชิปที่ใช้ในประเทศถูกผลิตในประเทศ ➡️ Intel ได้รับการลงทุนจาก SoftBank, Nvidia และรัฐบาลสหรัฐฯ ➡️ Nvidia ลงทุน 5 พันล้านดอลลาร์ใน Intel และร่วมพัฒนาชิป x86 ร่วมกัน ➡️ หาก AMD ตกลง จะเป็นการยืนยันวิสัยทัศน์ของอดีต CEO Pat Gelsinger ➡️ Intel กำลังมองหาลูกค้ารายใหญ่เพื่อสนับสนุนเทคโนโลยี 14A และ 18A ➡️ การร่วมมืออาจช่วยให้ AMD ลดความเสี่ยงจากข้อจำกัดการส่งออกไปจีน ✅ ข้อมูลเสริมจากภายนอก ➡️ Intel Foundry ยังตามหลัง TSMC ในด้านเทคโนโลยีการผลิตขั้นสูง ➡️ AMD เคยได้รับผลกระทบจากข้อจำกัดการส่งออก GPU ไปจีนในปี 2025 ➡️ การผลิตชิปในสหรัฐฯ อาจช่วยให้ AMD ได้รับการสนับสนุนจากรัฐบาล ➡️ Nvidia และ Apple ก็อยู่ระหว่างเจรจาเพื่อใช้ Intel Foundry เช่นกัน ➡️ การผลิตชิประดับกลางในสหรัฐฯ อาจเป็นจุดเริ่มต้นก่อนขยายไปสู่ชิประดับสูง https://www.tomshardware.com/pc-components/cpus/amd-in-early-talks-to-make-chips-at-intel-foundry-report-says
    WWW.TOMSHARDWARE.COM
    AMD in early talks to make chips at Intel Foundry, report says
    It could be their biggest partnership since Kaby Lake-G.
    0 Comments 0 Shares 266 Views 0 Reviews
  • “Intel Panther Lake-H โผล่ครั้งแรก — เปลี่ยนชื่อใหม่เป็น Ultra X พร้อมสเปกแรงทะลุ 5.1 GHz”

    Intel เตรียมเปิดตัวซีพียูรุ่นใหม่ในกลุ่มโน้ตบุ๊กประสิทธิภาพสูงภายใต้ชื่อ “Panther Lake-H” ซึ่งจะเป็นรุ่นแรกที่ใช้เทคโนโลยีการผลิตระดับ 18A node พร้อมสถาปัตยกรรมใหม่หลายจุด และที่น่าจับตาคือการเปลี่ยนชื่อรุ่นเป็น “Core Ultra X” โดยแบ่งออกเป็น Ultra X5, X7 และ X9 ซึ่งถือเป็นการรีแบรนด์ครั้งใหญ่ของ Intel เพื่อสร้างความแตกต่างจากรุ่นก่อนหน้า

    จากข้อมูลที่หลุดออกมา มีรุ่นย่อยที่น่าสนใจ ได้แก่
    Core Ultra X9 388H: คาดว่าจะเป็นรุ่นสูงสุด มาพร้อม P-Core แบบแรงพิเศษ, GPU Xe3 จำนวน 12 คอร์ และความเร็วสูงสุด 5.1 GHz
    Core Ultra X7 368H: เน้น iGPU ที่ทรงพลัง
    Core Ultra X5 338H และ X7 358H: อยู่ในกลุ่ม mid-tier

    Panther Lake-H จะใช้โครงสร้างแบบ hybrid ที่ประกอบด้วย 3 ประเภทของคอร์ ได้แก่
    P-Core (Cougar Cove)
    E-Core (Darkmont)
    LP-E Core (Skymont)

    พร้อม GPU แบบ Xe3 (Celestial) และ TDP ที่หลากหลายตั้งแต่ 25W ถึง 45W ซึ่งเหมาะกับโน้ตบุ๊กบางเบาไปจนถึงรุ่นประสิทธิภาพสูง

    แม้จะมีข่าวลือว่า Panther Lake-H อาจอยู่ในกลุ่ม Nova Lake-H แต่ข้อมูลล่าสุดยืนยันว่า Panther Lake จะอยู่ภายใต้ Core Ultra 300 series ไม่ใช่ 400 series อย่างที่เคยเข้าใจผิด

    https://wccftech.com/intel-panther-lake-h-retail-skus-surface-for-the-first-time/
    🚀 “Intel Panther Lake-H โผล่ครั้งแรก — เปลี่ยนชื่อใหม่เป็น Ultra X พร้อมสเปกแรงทะลุ 5.1 GHz” Intel เตรียมเปิดตัวซีพียูรุ่นใหม่ในกลุ่มโน้ตบุ๊กประสิทธิภาพสูงภายใต้ชื่อ “Panther Lake-H” ซึ่งจะเป็นรุ่นแรกที่ใช้เทคโนโลยีการผลิตระดับ 18A node พร้อมสถาปัตยกรรมใหม่หลายจุด และที่น่าจับตาคือการเปลี่ยนชื่อรุ่นเป็น “Core Ultra X” โดยแบ่งออกเป็น Ultra X5, X7 และ X9 ซึ่งถือเป็นการรีแบรนด์ครั้งใหญ่ของ Intel เพื่อสร้างความแตกต่างจากรุ่นก่อนหน้า จากข้อมูลที่หลุดออกมา มีรุ่นย่อยที่น่าสนใจ ได้แก่ ✔️ Core Ultra X9 388H: คาดว่าจะเป็นรุ่นสูงสุด มาพร้อม P-Core แบบแรงพิเศษ, GPU Xe3 จำนวน 12 คอร์ และความเร็วสูงสุด 5.1 GHz ✔️ Core Ultra X7 368H: เน้น iGPU ที่ทรงพลัง ✔️ Core Ultra X5 338H และ X7 358H: อยู่ในกลุ่ม mid-tier Panther Lake-H จะใช้โครงสร้างแบบ hybrid ที่ประกอบด้วย 3 ประเภทของคอร์ ได้แก่ ✔️ P-Core (Cougar Cove) ✔️ E-Core (Darkmont) ✔️ LP-E Core (Skymont) พร้อม GPU แบบ Xe3 (Celestial) และ TDP ที่หลากหลายตั้งแต่ 25W ถึง 45W ซึ่งเหมาะกับโน้ตบุ๊กบางเบาไปจนถึงรุ่นประสิทธิภาพสูง แม้จะมีข่าวลือว่า Panther Lake-H อาจอยู่ในกลุ่ม Nova Lake-H แต่ข้อมูลล่าสุดยืนยันว่า Panther Lake จะอยู่ภายใต้ Core Ultra 300 series ไม่ใช่ 400 series อย่างที่เคยเข้าใจผิด https://wccftech.com/intel-panther-lake-h-retail-skus-surface-for-the-first-time/
    WCCFTECH.COM
    Intel’s Panther Lake-H Retail SKUs Surface for the First Time, Revealing New ‘Ultra X’ Naming Scheme & Max Clocks Up to 5.1 GHz
    The first piece of information about Intel's Panther Lake-H, specifically regarding the naming scheme, has surfaced online.
    0 Comments 0 Shares 220 Views 0 Reviews
  • “AMD MI450X บีบให้ NVIDIA ปรับแผน Rubin — สงครามชิป AI ระดับ 2,000W เริ่มแล้ว”

    การแข่งขันระหว่าง AMD และ NVIDIA ในตลาดชิป AI กำลังร้อนแรงขึ้นอย่างไม่เคยมีมาก่อน โดยล่าสุด AMD ได้เปิดตัว Instinct MI450X ซึ่งเป็นชิป AI รุ่นใหม่ที่มีสเปกแรงจนทำให้ NVIDIA ต้องปรับแผนการออกแบบชิป Rubin VR200 ของตัวเองอย่างเร่งด่วน

    ชิป MI450X ของ AMD ใช้หน่วยความจำ HBM4 สูงสุดถึง 432GB ต่อ GPU และมีแบนด์วิดธ์สูงถึง 19.6 TB/s พร้อมพลังประมวลผล FP4 ที่ระดับ 40 PFLOPS โดยมี TGP สูงถึง 2500W ซึ่งถือว่าสูงมากเมื่อเทียบกับชิป AI ทั่วไป

    NVIDIA ที่เดิมวางแผนให้ Rubin VR200 มี TGP อยู่ที่ 1800W ต้องปรับเพิ่มขึ้นเป็น 2300W และเพิ่มแบนด์วิดธ์จาก 13 TB/s เป็น 20 TB/s ต่อ GPU เพื่อให้สามารถแข่งขันกับ MI450X ได้อย่างสูสี โดย Rubin ยังมีพลังประมวลผล FP4 สูงถึง 50 PFLOPS และใช้ HBM4 ขนาด 288GB ต่อ GPU

    ทั้งสองบริษัทใช้เทคโนโลยีการผลิตระดับ 3nm จาก TSMC และออกแบบแบบ chiplet เพื่อเพิ่มประสิทธิภาพและการจัดการพลังงาน โดย AMD มั่นใจว่า MI450X จะเป็น “Milan moment” ของสาย AI เหมือนที่ EPYC 7003 เคยเปลี่ยนเกมในตลาดเซิร์ฟเวอร์

    แม้ยังไม่มีข้อมูลสเปกเต็มของทั้งสองรุ่น แต่ OpenAI ได้เริ่มใช้งาน Rubin แล้ว ขณะที่ AMD เตรียมเปิดตัว MI450X ในปี 2026 ซึ่งหมายความว่า “สงครามชิป AI ระดับ hyperscale” ได้เริ่มต้นขึ้นแล้วอย่างเป็นทางการ

    ข้อมูลสำคัญจากข่าว
    AMD เปิดตัว Instinct MI450X พร้อม TGP สูงถึง 2500W และแบนด์วิดธ์ 19.6 TB/s
    ใช้หน่วยความจำ HBM4 ขนาด 432GB ต่อ GPU และพลังประมวลผล FP4 ที่ 40 PFLOPS
    NVIDIA ปรับแผน Rubin VR200 เพิ่ม TGP จาก 1800W เป็น 2300W และแบนด์วิดธ์เป็น 20 TB/s
    Rubin ใช้ HBM4 ขนาด 288GB ต่อ GPU และพลังประมวลผล FP4 ที่ 50 PFLOPS
    ทั้งสองชิปใช้เทคโนโลยี 3nm จาก TSMC และออกแบบแบบ chiplet
    AMD มองว่า MI450X จะเป็นจุดเปลี่ยนเหมือน EPYC 7003 ในตลาดเซิร์ฟเวอร์
    OpenAI เริ่มใช้งาน Rubin แล้ว แสดงถึงการยอมรับในตลาดจริง
    MI450X และ Rubin จะเปิดตัวเต็มรูปแบบในปี 2026

    ข้อมูลเสริมจากภายนอก
    HBM4 เป็นหน่วยความจำที่มีแบนด์วิดธ์สูงที่สุดในตลาด AI ปัจจุบัน
    FP4 เป็นรูปแบบการประมวลผลที่เหมาะกับงาน AI ขนาดใหญ่ เช่น LLM และโมเดลภาพ
    การใช้ TGP สูงกว่า 2000W ต้องมีระบบระบายความร้อนระดับเซิร์ฟเวอร์พิเศษ
    AMD เคยตามหลัง NVIDIA ในรอบก่อนหน้า แต่ MI450X อาจเปลี่ยนสมดุล
    Rubin Ultra รุ่นถัดไปของ NVIDIA อาจมี HBM4 สูงถึง 576GB ต่อ GPU

    https://wccftech.com/amd-instinct-mi450x-has-forced-nvidia-to-make-changes-with-the-rubin-ai-chip/
    ⚔️ “AMD MI450X บีบให้ NVIDIA ปรับแผน Rubin — สงครามชิป AI ระดับ 2,000W เริ่มแล้ว” การแข่งขันระหว่าง AMD และ NVIDIA ในตลาดชิป AI กำลังร้อนแรงขึ้นอย่างไม่เคยมีมาก่อน โดยล่าสุด AMD ได้เปิดตัว Instinct MI450X ซึ่งเป็นชิป AI รุ่นใหม่ที่มีสเปกแรงจนทำให้ NVIDIA ต้องปรับแผนการออกแบบชิป Rubin VR200 ของตัวเองอย่างเร่งด่วน ชิป MI450X ของ AMD ใช้หน่วยความจำ HBM4 สูงสุดถึง 432GB ต่อ GPU และมีแบนด์วิดธ์สูงถึง 19.6 TB/s พร้อมพลังประมวลผล FP4 ที่ระดับ 40 PFLOPS โดยมี TGP สูงถึง 2500W ซึ่งถือว่าสูงมากเมื่อเทียบกับชิป AI ทั่วไป NVIDIA ที่เดิมวางแผนให้ Rubin VR200 มี TGP อยู่ที่ 1800W ต้องปรับเพิ่มขึ้นเป็น 2300W และเพิ่มแบนด์วิดธ์จาก 13 TB/s เป็น 20 TB/s ต่อ GPU เพื่อให้สามารถแข่งขันกับ MI450X ได้อย่างสูสี โดย Rubin ยังมีพลังประมวลผล FP4 สูงถึง 50 PFLOPS และใช้ HBM4 ขนาด 288GB ต่อ GPU ทั้งสองบริษัทใช้เทคโนโลยีการผลิตระดับ 3nm จาก TSMC และออกแบบแบบ chiplet เพื่อเพิ่มประสิทธิภาพและการจัดการพลังงาน โดย AMD มั่นใจว่า MI450X จะเป็น “Milan moment” ของสาย AI เหมือนที่ EPYC 7003 เคยเปลี่ยนเกมในตลาดเซิร์ฟเวอร์ แม้ยังไม่มีข้อมูลสเปกเต็มของทั้งสองรุ่น แต่ OpenAI ได้เริ่มใช้งาน Rubin แล้ว ขณะที่ AMD เตรียมเปิดตัว MI450X ในปี 2026 ซึ่งหมายความว่า “สงครามชิป AI ระดับ hyperscale” ได้เริ่มต้นขึ้นแล้วอย่างเป็นทางการ ✅ ข้อมูลสำคัญจากข่าว ➡️ AMD เปิดตัว Instinct MI450X พร้อม TGP สูงถึง 2500W และแบนด์วิดธ์ 19.6 TB/s ➡️ ใช้หน่วยความจำ HBM4 ขนาด 432GB ต่อ GPU และพลังประมวลผล FP4 ที่ 40 PFLOPS ➡️ NVIDIA ปรับแผน Rubin VR200 เพิ่ม TGP จาก 1800W เป็น 2300W และแบนด์วิดธ์เป็น 20 TB/s ➡️ Rubin ใช้ HBM4 ขนาด 288GB ต่อ GPU และพลังประมวลผล FP4 ที่ 50 PFLOPS ➡️ ทั้งสองชิปใช้เทคโนโลยี 3nm จาก TSMC และออกแบบแบบ chiplet ➡️ AMD มองว่า MI450X จะเป็นจุดเปลี่ยนเหมือน EPYC 7003 ในตลาดเซิร์ฟเวอร์ ➡️ OpenAI เริ่มใช้งาน Rubin แล้ว แสดงถึงการยอมรับในตลาดจริง ➡️ MI450X และ Rubin จะเปิดตัวเต็มรูปแบบในปี 2026 ✅ ข้อมูลเสริมจากภายนอก ➡️ HBM4 เป็นหน่วยความจำที่มีแบนด์วิดธ์สูงที่สุดในตลาด AI ปัจจุบัน ➡️ FP4 เป็นรูปแบบการประมวลผลที่เหมาะกับงาน AI ขนาดใหญ่ เช่น LLM และโมเดลภาพ ➡️ การใช้ TGP สูงกว่า 2000W ต้องมีระบบระบายความร้อนระดับเซิร์ฟเวอร์พิเศษ ➡️ AMD เคยตามหลัง NVIDIA ในรอบก่อนหน้า แต่ MI450X อาจเปลี่ยนสมดุล ➡️ Rubin Ultra รุ่นถัดไปของ NVIDIA อาจมี HBM4 สูงถึง 576GB ต่อ GPU https://wccftech.com/amd-instinct-mi450x-has-forced-nvidia-to-make-changes-with-the-rubin-ai-chip/
    WCCFTECH.COM
    AMD's Instinct MI450X Has Reportedly 'Forced' NVIDIA to Make Changes With the Rubin AI Chip, Including Higher TGPs & Memory Bandwidth
    NVIDIA and AMD are racing to create a superior AI architecture, with both firms revising their next-gen designs to gain an edge.
    0 Comments 0 Shares 216 Views 0 Reviews
  • “Micron จับมือ TSMC ผลิต HBM4E — เปิดยุคใหม่ของหน่วยความจำ AI ที่ปรับแต่งได้ตามใจลูกค้า”

    Micron ประกาศความร่วมมือกับ TSMC ในการผลิตชิปฐาน (logic die) สำหรับหน่วยความจำ HBM4E ซึ่งเป็นรุ่นถัดไปของเทคโนโลยี High Bandwidth Memory ที่ออกแบบมาเพื่อรองรับงานประมวลผล AI ระดับสูง โดยมีแผนเริ่มผลิตในปี 2027

    HBM4E ไม่ใช่แค่หน่วยความจำที่เร็วขึ้น แต่ Micron ตั้งใจให้มันเป็น “แพลตฟอร์มแบบกึ่งปรับแต่งได้” โดยลูกค้าสามารถเลือกฟีเจอร์เฉพาะใน logic die เช่น การเพิ่ม SRAM, การใส่ compression engine หรือการปรับสัญญาณให้เหมาะกับงานของตัวเอง ซึ่งจะช่วยให้ GPU หรือ XPU ทำงานได้เต็มประสิทธิภาพมากขึ้น

    Micron ได้เริ่มส่งตัวอย่าง HBM4 ที่มีความเร็วมากกว่า 11 Gbps ต่อ pin และแบนด์วิดท์รวมสูงถึง 2.8 TB/s แล้ว พร้อมกับล็อกสัญญา HBM3E สำหรับปี 2026 ไว้เกือบหมดแล้ว ซึ่งแสดงถึงความพร้อมในการเข้าสู่ยุค HBM4 และ HBM4E อย่างเต็มตัว

    การจับมือกับ TSMC ยังช่วยให้ Micron สามารถใช้เทคโนโลยีการผลิตขั้นสูงในการสร้าง logic die ที่มีความซับซ้อนมากขึ้น และสอดคล้องกับความต้องการของ GPU รุ่นใหม่ เช่น Nvidia Rubin Ultra และ AMD MI400 ที่จะเปิดตัวในปี 2026–2027 ซึ่งต้องการหน่วยความจำที่มีแบนด์วิดท์ระดับหลายสิบ TB/s และความจุสูงถึง 1 TB ต่อ GPU

    นอกจากนี้ Micron ยังมองว่า HBM4E จะกลายเป็นมาตรฐานใหม่ของหน่วยความจำใน data center สำหรับงาน AI โดยเฉพาะเมื่อ workload มีขนาดใหญ่ขึ้นเรื่อย ๆ และความต้องการด้านประสิทธิภาพสูงขึ้นอย่างต่อเนื่อง

    https://www.tomshardware.com/micron-hands-tsmc-the-keys-to-hbm4e
    🚀 “Micron จับมือ TSMC ผลิต HBM4E — เปิดยุคใหม่ของหน่วยความจำ AI ที่ปรับแต่งได้ตามใจลูกค้า” Micron ประกาศความร่วมมือกับ TSMC ในการผลิตชิปฐาน (logic die) สำหรับหน่วยความจำ HBM4E ซึ่งเป็นรุ่นถัดไปของเทคโนโลยี High Bandwidth Memory ที่ออกแบบมาเพื่อรองรับงานประมวลผล AI ระดับสูง โดยมีแผนเริ่มผลิตในปี 2027 HBM4E ไม่ใช่แค่หน่วยความจำที่เร็วขึ้น แต่ Micron ตั้งใจให้มันเป็น “แพลตฟอร์มแบบกึ่งปรับแต่งได้” โดยลูกค้าสามารถเลือกฟีเจอร์เฉพาะใน logic die เช่น การเพิ่ม SRAM, การใส่ compression engine หรือการปรับสัญญาณให้เหมาะกับงานของตัวเอง ซึ่งจะช่วยให้ GPU หรือ XPU ทำงานได้เต็มประสิทธิภาพมากขึ้น Micron ได้เริ่มส่งตัวอย่าง HBM4 ที่มีความเร็วมากกว่า 11 Gbps ต่อ pin และแบนด์วิดท์รวมสูงถึง 2.8 TB/s แล้ว พร้อมกับล็อกสัญญา HBM3E สำหรับปี 2026 ไว้เกือบหมดแล้ว ซึ่งแสดงถึงความพร้อมในการเข้าสู่ยุค HBM4 และ HBM4E อย่างเต็มตัว การจับมือกับ TSMC ยังช่วยให้ Micron สามารถใช้เทคโนโลยีการผลิตขั้นสูงในการสร้าง logic die ที่มีความซับซ้อนมากขึ้น และสอดคล้องกับความต้องการของ GPU รุ่นใหม่ เช่น Nvidia Rubin Ultra และ AMD MI400 ที่จะเปิดตัวในปี 2026–2027 ซึ่งต้องการหน่วยความจำที่มีแบนด์วิดท์ระดับหลายสิบ TB/s และความจุสูงถึง 1 TB ต่อ GPU นอกจากนี้ Micron ยังมองว่า HBM4E จะกลายเป็นมาตรฐานใหม่ของหน่วยความจำใน data center สำหรับงาน AI โดยเฉพาะเมื่อ workload มีขนาดใหญ่ขึ้นเรื่อย ๆ และความต้องการด้านประสิทธิภาพสูงขึ้นอย่างต่อเนื่อง https://www.tomshardware.com/micron-hands-tsmc-the-keys-to-hbm4e
    0 Comments 0 Shares 185 Views 0 Reviews
  • “Samsung ได้รับการรับรอง HBM3E จาก Nvidia — หุ้นพุ่ง 5% พร้อมเร่งเครื่องสู่สนาม HBM4 แข่งกับ SK hynix และ Micron”

    หลังจากรอคอยมานานกว่า 18 เดือน Samsung ก็ได้รับการรับรองจาก Nvidia สำหรับชิปหน่วยความจำ HBM3E แบบ 12 ชั้น ซึ่งจะถูกนำไปใช้ในการ์ดเร่ง AI รุ่นสูงอย่าง DGX B300 ของ Nvidia และ MI350 ของ AMD ข่าวนี้ส่งผลให้หุ้นของ Samsung พุ่งขึ้นทันที 5% สะท้อนความมั่นใจของนักลงทุนว่าบริษัทสามารถกลับเข้าสู่การแข่งขันในตลาดหน่วยความจำความเร็วสูงได้อีกครั้ง

    ก่อนหน้านี้ SK hynix และ Micron ได้รับการรับรองและเริ่มส่งมอบ HBM3E ให้ Nvidia ไปแล้ว ทำให้ Samsungกลายเป็นผู้ผลิตรายที่สามที่เข้าร่วมในห่วงโซ่อุปทานนี้ โดยแม้จะยังไม่สามารถส่งมอบในปริมาณมากจนถึงปี 2026 แต่การผ่านการรับรองถือเป็นก้าวสำคัญที่ช่วยให้ Samsung กลับมาอยู่ในเกม

    HBM3E เป็นหน่วยความจำที่มีความเร็วสูงสุดในตลาดปัจจุบัน โดยมีแบนด์วิดธ์ถึง 1.2 TB/s ต่อ stack และใช้เทคโนโลยี 12-layer DRAM ซึ่งเหนือกว่ารุ่นก่อนหน้าอย่าง HBM3 ที่มีเพียง 8 ชั้น

    ขณะเดียวกัน Samsung ก็เร่งพัฒนา HBM4 ซึ่งเป็นหน่วยความจำรุ่นถัดไปที่มีแบนด์วิดธ์สูงถึง 2 TB/s ต่อ stack และใช้เทคโนโลยีการผลิตระดับ 3–4 นาโนเมตร ทำให้สามารถเพิ่มความจุเป็น 64 GB ต่อชิป พร้อมลดการใช้พลังงานลงถึง 30%

    แม้ SK hynix จะประกาศเสร็จสิ้นการพัฒนา HBM4 ไปก่อนแล้ว แต่ Samsung ก็อยู่ระหว่างการส่งตัวอย่างให้ Nvidia และตั้งเป้าเริ่มผลิตจำนวนมากในครึ่งแรกของปี 2026 โดยมีเป้าหมายชัดเจนในการแซงคู่แข่งทั้งด้านประสิทธิภาพและปริมาณการผลิต

    ความคืบหน้าของ Samsung ในตลาด HBM
    Samsung ได้รับการรับรองจาก Nvidia สำหรับชิป HBM3E แบบ 12 ชั้น
    หุ้น Samsung พุ่งขึ้นกว่า 5% หลังข่าวการรับรองเผยแพร่
    ชิป HBM3E จะถูกใช้ใน Nvidia DGX B300 และ AMD MI350
    Samsung เป็นผู้ผลิตรายที่สามที่ได้รับการรับรอง ต่อจาก SK hynix และ Micron

    คุณสมบัติของ HBM3E และ HBM4
    HBM3E มีแบนด์วิดธ์ 1.2 TB/s ต่อ stack และใช้เทคโนโลยี 12-layer DRAM
    HBM4 จะมีแบนด์วิดธ์สูงถึง 2 TB/s และความจุ 64 GB ต่อชิป
    ใช้กระบวนการผลิตระดับ 3–4 นาโนเมตร ลดการใช้พลังงานลง 20–30%
    Samsung ตั้งเป้าเริ่มผลิต HBM4 จำนวนมากในครึ่งแรกของปี 2026

    ข้อมูลเสริมจากภายนอก
    Nvidia กำหนดมาตรฐาน HBM4 ที่สูงขึ้น เช่น 10–11 Gbps ต่อ pin
    Samsung แสดงความสามารถถึง 11 Gbps ซึ่งเหนือกว่า SK hynix ที่ทำได้ 10 Gbps
    Micron ยังประสบปัญหาในการผ่านมาตรฐาน HBM4 ของ Nvidia2
    ตลาด HBM คาดว่าจะเติบโต 30% ต่อปีจนถึงปี 2030 ตามการคาดการณ์ของ SK hynix

    https://www.tomshardware.com/tech-industry/samsung-earns-nvidias-certification-for-its-hbm3-memory-stock-jumps-5-percent-as-company-finally-catches-up-to-sk-hynix-and-micron-in-hbm3e-production
    🚀 “Samsung ได้รับการรับรอง HBM3E จาก Nvidia — หุ้นพุ่ง 5% พร้อมเร่งเครื่องสู่สนาม HBM4 แข่งกับ SK hynix และ Micron” หลังจากรอคอยมานานกว่า 18 เดือน Samsung ก็ได้รับการรับรองจาก Nvidia สำหรับชิปหน่วยความจำ HBM3E แบบ 12 ชั้น ซึ่งจะถูกนำไปใช้ในการ์ดเร่ง AI รุ่นสูงอย่าง DGX B300 ของ Nvidia และ MI350 ของ AMD ข่าวนี้ส่งผลให้หุ้นของ Samsung พุ่งขึ้นทันที 5% สะท้อนความมั่นใจของนักลงทุนว่าบริษัทสามารถกลับเข้าสู่การแข่งขันในตลาดหน่วยความจำความเร็วสูงได้อีกครั้ง ก่อนหน้านี้ SK hynix และ Micron ได้รับการรับรองและเริ่มส่งมอบ HBM3E ให้ Nvidia ไปแล้ว ทำให้ Samsungกลายเป็นผู้ผลิตรายที่สามที่เข้าร่วมในห่วงโซ่อุปทานนี้ โดยแม้จะยังไม่สามารถส่งมอบในปริมาณมากจนถึงปี 2026 แต่การผ่านการรับรองถือเป็นก้าวสำคัญที่ช่วยให้ Samsung กลับมาอยู่ในเกม HBM3E เป็นหน่วยความจำที่มีความเร็วสูงสุดในตลาดปัจจุบัน โดยมีแบนด์วิดธ์ถึง 1.2 TB/s ต่อ stack และใช้เทคโนโลยี 12-layer DRAM ซึ่งเหนือกว่ารุ่นก่อนหน้าอย่าง HBM3 ที่มีเพียง 8 ชั้น ขณะเดียวกัน Samsung ก็เร่งพัฒนา HBM4 ซึ่งเป็นหน่วยความจำรุ่นถัดไปที่มีแบนด์วิดธ์สูงถึง 2 TB/s ต่อ stack และใช้เทคโนโลยีการผลิตระดับ 3–4 นาโนเมตร ทำให้สามารถเพิ่มความจุเป็น 64 GB ต่อชิป พร้อมลดการใช้พลังงานลงถึง 30% แม้ SK hynix จะประกาศเสร็จสิ้นการพัฒนา HBM4 ไปก่อนแล้ว แต่ Samsung ก็อยู่ระหว่างการส่งตัวอย่างให้ Nvidia และตั้งเป้าเริ่มผลิตจำนวนมากในครึ่งแรกของปี 2026 โดยมีเป้าหมายชัดเจนในการแซงคู่แข่งทั้งด้านประสิทธิภาพและปริมาณการผลิต ✅ ความคืบหน้าของ Samsung ในตลาด HBM ➡️ Samsung ได้รับการรับรองจาก Nvidia สำหรับชิป HBM3E แบบ 12 ชั้น ➡️ หุ้น Samsung พุ่งขึ้นกว่า 5% หลังข่าวการรับรองเผยแพร่ ➡️ ชิป HBM3E จะถูกใช้ใน Nvidia DGX B300 และ AMD MI350 ➡️ Samsung เป็นผู้ผลิตรายที่สามที่ได้รับการรับรอง ต่อจาก SK hynix และ Micron ✅ คุณสมบัติของ HBM3E และ HBM4 ➡️ HBM3E มีแบนด์วิดธ์ 1.2 TB/s ต่อ stack และใช้เทคโนโลยี 12-layer DRAM ➡️ HBM4 จะมีแบนด์วิดธ์สูงถึง 2 TB/s และความจุ 64 GB ต่อชิป ➡️ ใช้กระบวนการผลิตระดับ 3–4 นาโนเมตร ลดการใช้พลังงานลง 20–30% ➡️ Samsung ตั้งเป้าเริ่มผลิต HBM4 จำนวนมากในครึ่งแรกของปี 2026 ✅ ข้อมูลเสริมจากภายนอก ➡️ Nvidia กำหนดมาตรฐาน HBM4 ที่สูงขึ้น เช่น 10–11 Gbps ต่อ pin ➡️ Samsung แสดงความสามารถถึง 11 Gbps ซึ่งเหนือกว่า SK hynix ที่ทำได้ 10 Gbps ➡️ Micron ยังประสบปัญหาในการผ่านมาตรฐาน HBM4 ของ Nvidia2 ➡️ ตลาด HBM คาดว่าจะเติบโต 30% ต่อปีจนถึงปี 2030 ตามการคาดการณ์ของ SK hynix https://www.tomshardware.com/tech-industry/samsung-earns-nvidias-certification-for-its-hbm3-memory-stock-jumps-5-percent-as-company-finally-catches-up-to-sk-hynix-and-micron-in-hbm3e-production
    0 Comments 0 Shares 206 Views 0 Reviews
  • “MediaTek ปล่อยชิปเรือธงบนเทคโนโลยี 2nm ของ TSMC — ก้าวแรกสู่ยุคใหม่ของ AI, มือถือ และยานยนต์”

    MediaTek ประกาศความสำเร็จในการ tape-out ชิป SoC รุ่นเรือธงตัวใหม่ที่ใช้เทคโนโลยีการผลิตระดับ 2 นาโนเมตรของ TSMC ซึ่งถือเป็นหนึ่งในบริษัทแรกที่เข้าสู่ยุค 2nm อย่างเป็นทางการ โดยชิปนี้จะเข้าสู่การผลิตจำนวนมากในช่วงปลายปี 2026 และพร้อมวางจำหน่ายในช่วงเวลาเดียวกัน

    เทคโนโลยี 2nm ของ TSMC ใช้โครงสร้างทรานซิสเตอร์แบบ nanosheet เป็นครั้งแรก ซึ่งช่วยเพิ่มความหนาแน่นของลอจิกได้ถึง 1.2 เท่า เพิ่มประสิทธิภาพสูงสุด 18% ที่พลังงานเท่าเดิม และลดการใช้พลังงานลงถึง 36% ที่ความเร็วเท่าเดิม เมื่อเทียบกับกระบวนการ N3E รุ่นก่อนหน้า

    MediaTek ยังไม่เปิดเผยว่าชิปนี้จะใช้ในผลิตภัณฑ์ใดโดยตรง แต่มีการคาดการณ์ว่าอาจเกี่ยวข้องกับความร่วมมือกับ NVIDIA ในกลุ่ม AI PC หรือชิปสำหรับดาต้าเซ็นเตอร์ ซึ่งก่อนหน้านี้ทั้งสองบริษัทเคยร่วมมือกันในโปรเจกต์ GB10 “Grace Blackwell” Superchip ที่ใช้กระบวนการ 3nm

    ชิปใหม่นี้จะถูกนำไปใช้ในหลากหลายกลุ่มผลิตภัณฑ์ เช่น มือถือระดับเรือธง, คอมพิวเตอร์ประสิทธิภาพสูง, ยานยนต์อัจฉริยะ และเซิร์ฟเวอร์ edge computing โดย MediaTek ยืนยันว่าการร่วมมือกับ TSMC จะช่วยให้สามารถส่งมอบโซลูชันที่มีประสิทธิภาพสูงและประหยัดพลังงานได้ทั่วโลก

    ข้อมูลสำคัญจากข่าว
    MediaTek ประกาศ tape-out ชิป SoC รุ่นเรือธงที่ใช้เทคโนโลยี 2nm ของ TSMC
    เข้าสู่การผลิตจำนวนมากปลายปี 2026 และวางจำหน่ายช่วงเวลาเดียวกัน
    ใช้โครงสร้างทรานซิสเตอร์แบบ nanosheet เป็นครั้งแรก
    เพิ่ม logic density 1.2 เท่า, เพิ่ม performance 18%, ลดพลังงาน 36% เทียบกับ N3E

    กลุ่มเป้าหมายและการใช้งาน
    ชิปนี้อาจใช้ในมือถือ, คอมพิวเตอร์, ยานยนต์ และ edge computing
    มีความเป็นไปได้ว่าจะเกี่ยวข้องกับความร่วมมือกับ NVIDIA ในกลุ่ม AI PC
    MediaTek และ TSMC มีความร่วมมือระยะยาวในด้านเทคโนโลยีขั้นสูง
    ชิปนี้จะเป็นตัวแทนของการเปลี่ยนผ่านสู่ยุคใหม่ของการประมวลผลแบบประหยัดพลังงาน

    ข้อมูลเสริมจากภายนอก
    TSMC N2P คือรุ่นพัฒนาต่อจาก N2 ที่เน้นประสิทธิภาพต่อวัตต์
    Apple และ AMD ก็เตรียมใช้เทคโนโลยี 2nm ในชิปของตนในปี 2026 เช่นกัน
    การใช้ nanosheet transistor ช่วยให้สามารถใส่ accelerator และ IP block ได้มากขึ้นในพื้นที่เท่าเดิม
    เหมาะกับงาน on-device AI ที่ต้องการประสิทธิภาพสูงแต่ใช้พลังงานต่ำ

    https://wccftech.com/mediatek-tapes-out-flagship-soc-tsmc-2nm-process-production-availability-end-2026/
    🧠 “MediaTek ปล่อยชิปเรือธงบนเทคโนโลยี 2nm ของ TSMC — ก้าวแรกสู่ยุคใหม่ของ AI, มือถือ และยานยนต์” MediaTek ประกาศความสำเร็จในการ tape-out ชิป SoC รุ่นเรือธงตัวใหม่ที่ใช้เทคโนโลยีการผลิตระดับ 2 นาโนเมตรของ TSMC ซึ่งถือเป็นหนึ่งในบริษัทแรกที่เข้าสู่ยุค 2nm อย่างเป็นทางการ โดยชิปนี้จะเข้าสู่การผลิตจำนวนมากในช่วงปลายปี 2026 และพร้อมวางจำหน่ายในช่วงเวลาเดียวกัน เทคโนโลยี 2nm ของ TSMC ใช้โครงสร้างทรานซิสเตอร์แบบ nanosheet เป็นครั้งแรก ซึ่งช่วยเพิ่มความหนาแน่นของลอจิกได้ถึง 1.2 เท่า เพิ่มประสิทธิภาพสูงสุด 18% ที่พลังงานเท่าเดิม และลดการใช้พลังงานลงถึง 36% ที่ความเร็วเท่าเดิม เมื่อเทียบกับกระบวนการ N3E รุ่นก่อนหน้า MediaTek ยังไม่เปิดเผยว่าชิปนี้จะใช้ในผลิตภัณฑ์ใดโดยตรง แต่มีการคาดการณ์ว่าอาจเกี่ยวข้องกับความร่วมมือกับ NVIDIA ในกลุ่ม AI PC หรือชิปสำหรับดาต้าเซ็นเตอร์ ซึ่งก่อนหน้านี้ทั้งสองบริษัทเคยร่วมมือกันในโปรเจกต์ GB10 “Grace Blackwell” Superchip ที่ใช้กระบวนการ 3nm ชิปใหม่นี้จะถูกนำไปใช้ในหลากหลายกลุ่มผลิตภัณฑ์ เช่น มือถือระดับเรือธง, คอมพิวเตอร์ประสิทธิภาพสูง, ยานยนต์อัจฉริยะ และเซิร์ฟเวอร์ edge computing โดย MediaTek ยืนยันว่าการร่วมมือกับ TSMC จะช่วยให้สามารถส่งมอบโซลูชันที่มีประสิทธิภาพสูงและประหยัดพลังงานได้ทั่วโลก ✅ ข้อมูลสำคัญจากข่าว ➡️ MediaTek ประกาศ tape-out ชิป SoC รุ่นเรือธงที่ใช้เทคโนโลยี 2nm ของ TSMC ➡️ เข้าสู่การผลิตจำนวนมากปลายปี 2026 และวางจำหน่ายช่วงเวลาเดียวกัน ➡️ ใช้โครงสร้างทรานซิสเตอร์แบบ nanosheet เป็นครั้งแรก ➡️ เพิ่ม logic density 1.2 เท่า, เพิ่ม performance 18%, ลดพลังงาน 36% เทียบกับ N3E ✅ กลุ่มเป้าหมายและการใช้งาน ➡️ ชิปนี้อาจใช้ในมือถือ, คอมพิวเตอร์, ยานยนต์ และ edge computing ➡️ มีความเป็นไปได้ว่าจะเกี่ยวข้องกับความร่วมมือกับ NVIDIA ในกลุ่ม AI PC ➡️ MediaTek และ TSMC มีความร่วมมือระยะยาวในด้านเทคโนโลยีขั้นสูง ➡️ ชิปนี้จะเป็นตัวแทนของการเปลี่ยนผ่านสู่ยุคใหม่ของการประมวลผลแบบประหยัดพลังงาน ✅ ข้อมูลเสริมจากภายนอก ➡️ TSMC N2P คือรุ่นพัฒนาต่อจาก N2 ที่เน้นประสิทธิภาพต่อวัตต์ ➡️ Apple และ AMD ก็เตรียมใช้เทคโนโลยี 2nm ในชิปของตนในปี 2026 เช่นกัน ➡️ การใช้ nanosheet transistor ช่วยให้สามารถใส่ accelerator และ IP block ได้มากขึ้นในพื้นที่เท่าเดิม ➡️ เหมาะกับงาน on-device AI ที่ต้องการประสิทธิภาพสูงแต่ใช้พลังงานต่ำ https://wccftech.com/mediatek-tapes-out-flagship-soc-tsmc-2nm-process-production-availability-end-2026/
    WCCFTECH.COM
    MediaTek Tapes Out Flagship SoC Using TSMC's 2nm Process, Mass Production & Availability By End of 2026
    MediaTek has announced the tape-out of its flagship SoC, fabricated on TSMC's 2nm process node, which will be available by the end of 2026.
    0 Comments 0 Shares 258 Views 0 Reviews
  • “AMD EPYC Embedded 4005: ซีพียูขอบระบบที่ไม่ธรรมดา — Zen 5 บน AM5 เพื่อโลกที่ต้องการความเร็วและความเสถียร”

    AMD เปิดตัวซีพียูตระกูล EPYC Embedded 4005 อย่างเป็นทางการในเดือนกันยายน 2025 โดยมุ่งเป้าไปที่ตลาด edge computing, ระบบรักษาความปลอดภัยเครือข่าย และเซิร์ฟเวอร์อุตสาหกรรมระดับเริ่มต้น จุดเด่นของซีรีส์นี้คือการนำสถาปัตยกรรม Zen 5 มาใช้บนแพลตฟอร์ม AM5 ซึ่งเป็นซ็อกเก็ตเดียวกับ Ryzen รุ่นทั่วไป แต่ปรับแต่งให้เหมาะกับงานฝังตัวที่ต้องการความเสถียรและอายุการใช้งานยาวนาน

    EPYC Embedded 4005 ใช้เทคโนโลยีการผลิตแบบ chiplet ขนาด 4 นาโนเมตร รองรับสูงสุด 16 คอร์ 32 เธรด พร้อม L3 cache สูงสุด 128MB และ TDP ที่ปรับได้ตั้งแต่ 65W ถึง 170W เพื่อให้เหมาะกับงานที่หลากหลาย ตั้งแต่ไฟร์วอลล์รุ่นใหม่ไปจนถึงระบบควบคุมแบบเรียลไทม์ในโรงงาน

    ซีพียูรุ่นนี้รองรับ DDR5-5600 แบบ ECC, PCIe Gen 5 จำนวน 28 เลน และชุดคำสั่ง AVX-512 แบบเต็ม 512 บิต ซึ่งช่วยเพิ่มประสิทธิภาพในการประมวลผล AI inference และงานที่ต้องการ vector computing เช่น การวิเคราะห์ภาพ, การประมวลผล JSON หรือฐานข้อมูล PostgreSQL

    จุดแข็งอีกด้านคือการรับประกันการผลิตนานถึง 7 ปี พร้อมฟีเจอร์ RAS (Reliability, Availability, Serviceability) เช่น ECC บน DRAM และ PCIe, parity บนชิป และการตรวจจับข้อผิดพลาดแบบ built-in ซึ่งเหมาะกับระบบที่ต้องการ uptime สูงและการบำรุงรักษาต่ำ

    AMD ยังออกแบบให้ EPYC Embedded 4005 ใช้ซ็อกเก็ต AM5 ร่วมกับซีพียู Ryzen ทำให้สามารถใช้เมนบอร์ดเดิมได้ ลดต้นทุนการออกแบบ และเพิ่มความยืดหยุ่นในการอัปเกรดระบบในอนาคต โดยเฉพาะในกลุ่ม SMB และผู้ให้บริการ hosting ที่ต้องการระบบที่คุ้มค่าแต่มีความสามารถระดับองค์กร

    ข้อมูลสำคัญจากข่าว
    AMD เปิดตัว EPYC Embedded 4005 สำหรับงาน edge และระบบฝังตัว
    ใช้ Zen 5 บนแพลตฟอร์ม AM5 พร้อมเทคโนโลยี chiplet ขนาด 4 นาโนเมตร
    รองรับสูงสุด 16 คอร์ 32 เธรด, L3 cache สูงสุด 128MB
    TDP ปรับได้ตั้งแต่ 65W ถึง 170W เพื่อรองรับงานหลากหลาย

    จุดเด่นด้านเทคโนโลยี
    รองรับ DDR5-5600 ECC และ PCIe Gen 5 จำนวน 28 เลน
    มีชุดคำสั่ง AVX-512 แบบเต็ม 512 บิต สำหรับงาน AI และ HPC
    รับประกันการผลิตนานถึง 7 ปี พร้อมฟีเจอร์ RAS ครบถ้วน
    ใช้ซ็อกเก็ต AM5 ร่วมกับ Ryzen ทำให้ลดต้นทุนและเพิ่มความยืดหยุ่น

    ข้อมูลเสริมจากภายนอก
    EPYC 4005 เป็นรุ่นต่อยอดจาก EPYC 4004 โดยเพิ่มความเร็วแรมและชุดคำสั่ง
    มีรุ่น 16 คอร์ TDP 65W สำหรับงานที่ต้องการประสิทธิภาพแต่ประหยัดพลังงาน
    เหมาะกับงานไฟร์วอลล์, NAS, เซิร์ฟเวอร์ SMB และระบบควบคุมอุตสาหกรรม
    แข่งกับ Intel Xeon E และ Xeon 6300P ซึ่งยังจำกัดที่ 8 คอร์และ DDR5-4800

    คำเตือนและข้อจำกัด
    แม้จะใช้ AM5 แต่ EPYC Embedded 4005 ต้องใช้ BIOS ที่รองรับ ECC และฟีเจอร์ RAS
    ชุดคำสั่ง AVX-512 อาจไม่ถูกใช้งานเต็มประสิทธิภาพในซอฟต์แวร์ทั่วไป
    การใช้งานในระบบฝังตัวต้องพิจารณาเรื่องความร้อนและการระบายอากาศอย่างรอบคอบ
    แม้จะรับประกันการผลิต 7 ปี แต่การสนับสนุนด้านซอฟต์แวร์อาจขึ้นอยู่กับผู้ผลิตเมนบอร์ด
    ราคาของรุ่นสูงสุดอาจใกล้เคียงกับ Xeon ระดับกลาง ทำให้ต้องเปรียบเทียบอย่างละเอียดก่อนเลือกใช้งาน

    https://www.techpowerup.com/341063/amd-introduces-epyc-embedded-4005-processors-for-low-latency-applications-at-the-edge
    🧩 “AMD EPYC Embedded 4005: ซีพียูขอบระบบที่ไม่ธรรมดา — Zen 5 บน AM5 เพื่อโลกที่ต้องการความเร็วและความเสถียร” AMD เปิดตัวซีพียูตระกูล EPYC Embedded 4005 อย่างเป็นทางการในเดือนกันยายน 2025 โดยมุ่งเป้าไปที่ตลาด edge computing, ระบบรักษาความปลอดภัยเครือข่าย และเซิร์ฟเวอร์อุตสาหกรรมระดับเริ่มต้น จุดเด่นของซีรีส์นี้คือการนำสถาปัตยกรรม Zen 5 มาใช้บนแพลตฟอร์ม AM5 ซึ่งเป็นซ็อกเก็ตเดียวกับ Ryzen รุ่นทั่วไป แต่ปรับแต่งให้เหมาะกับงานฝังตัวที่ต้องการความเสถียรและอายุการใช้งานยาวนาน EPYC Embedded 4005 ใช้เทคโนโลยีการผลิตแบบ chiplet ขนาด 4 นาโนเมตร รองรับสูงสุด 16 คอร์ 32 เธรด พร้อม L3 cache สูงสุด 128MB และ TDP ที่ปรับได้ตั้งแต่ 65W ถึง 170W เพื่อให้เหมาะกับงานที่หลากหลาย ตั้งแต่ไฟร์วอลล์รุ่นใหม่ไปจนถึงระบบควบคุมแบบเรียลไทม์ในโรงงาน ซีพียูรุ่นนี้รองรับ DDR5-5600 แบบ ECC, PCIe Gen 5 จำนวน 28 เลน และชุดคำสั่ง AVX-512 แบบเต็ม 512 บิต ซึ่งช่วยเพิ่มประสิทธิภาพในการประมวลผล AI inference และงานที่ต้องการ vector computing เช่น การวิเคราะห์ภาพ, การประมวลผล JSON หรือฐานข้อมูล PostgreSQL จุดแข็งอีกด้านคือการรับประกันการผลิตนานถึง 7 ปี พร้อมฟีเจอร์ RAS (Reliability, Availability, Serviceability) เช่น ECC บน DRAM และ PCIe, parity บนชิป และการตรวจจับข้อผิดพลาดแบบ built-in ซึ่งเหมาะกับระบบที่ต้องการ uptime สูงและการบำรุงรักษาต่ำ AMD ยังออกแบบให้ EPYC Embedded 4005 ใช้ซ็อกเก็ต AM5 ร่วมกับซีพียู Ryzen ทำให้สามารถใช้เมนบอร์ดเดิมได้ ลดต้นทุนการออกแบบ และเพิ่มความยืดหยุ่นในการอัปเกรดระบบในอนาคต โดยเฉพาะในกลุ่ม SMB และผู้ให้บริการ hosting ที่ต้องการระบบที่คุ้มค่าแต่มีความสามารถระดับองค์กร ✅ ข้อมูลสำคัญจากข่าว ➡️ AMD เปิดตัว EPYC Embedded 4005 สำหรับงาน edge และระบบฝังตัว ➡️ ใช้ Zen 5 บนแพลตฟอร์ม AM5 พร้อมเทคโนโลยี chiplet ขนาด 4 นาโนเมตร ➡️ รองรับสูงสุด 16 คอร์ 32 เธรด, L3 cache สูงสุด 128MB ➡️ TDP ปรับได้ตั้งแต่ 65W ถึง 170W เพื่อรองรับงานหลากหลาย ✅ จุดเด่นด้านเทคโนโลยี ➡️ รองรับ DDR5-5600 ECC และ PCIe Gen 5 จำนวน 28 เลน ➡️ มีชุดคำสั่ง AVX-512 แบบเต็ม 512 บิต สำหรับงาน AI และ HPC ➡️ รับประกันการผลิตนานถึง 7 ปี พร้อมฟีเจอร์ RAS ครบถ้วน ➡️ ใช้ซ็อกเก็ต AM5 ร่วมกับ Ryzen ทำให้ลดต้นทุนและเพิ่มความยืดหยุ่น ✅ ข้อมูลเสริมจากภายนอก ➡️ EPYC 4005 เป็นรุ่นต่อยอดจาก EPYC 4004 โดยเพิ่มความเร็วแรมและชุดคำสั่ง ➡️ มีรุ่น 16 คอร์ TDP 65W สำหรับงานที่ต้องการประสิทธิภาพแต่ประหยัดพลังงาน ➡️ เหมาะกับงานไฟร์วอลล์, NAS, เซิร์ฟเวอร์ SMB และระบบควบคุมอุตสาหกรรม ➡️ แข่งกับ Intel Xeon E และ Xeon 6300P ซึ่งยังจำกัดที่ 8 คอร์และ DDR5-4800 ‼️ คำเตือนและข้อจำกัด ⛔ แม้จะใช้ AM5 แต่ EPYC Embedded 4005 ต้องใช้ BIOS ที่รองรับ ECC และฟีเจอร์ RAS ⛔ ชุดคำสั่ง AVX-512 อาจไม่ถูกใช้งานเต็มประสิทธิภาพในซอฟต์แวร์ทั่วไป ⛔ การใช้งานในระบบฝังตัวต้องพิจารณาเรื่องความร้อนและการระบายอากาศอย่างรอบคอบ ⛔ แม้จะรับประกันการผลิต 7 ปี แต่การสนับสนุนด้านซอฟต์แวร์อาจขึ้นอยู่กับผู้ผลิตเมนบอร์ด ⛔ ราคาของรุ่นสูงสุดอาจใกล้เคียงกับ Xeon ระดับกลาง ทำให้ต้องเปรียบเทียบอย่างละเอียดก่อนเลือกใช้งาน https://www.techpowerup.com/341063/amd-introduces-epyc-embedded-4005-processors-for-low-latency-applications-at-the-edge
    WWW.TECHPOWERUP.COM
    AMD Introduces EPYC Embedded 4005 Processors for Low-Latency Applications at the Edge
    AMD today announced the EPYC Embedded 4005 Series processors, purpose-built to address rising demand for real-time compute performance, optimized system costs and extended deployment lifecycles in network security appliances and entry-level industrial edge servers. Built on proven AMD server technol...
    0 Comments 0 Shares 233 Views 0 Reviews
More Results