• “Trump ดันดีล Korea Zinc – โรงงานแร่หายากใน Tennessee ลดการพึ่งพาจีน”

    การลงทุนครั้งนี้ถือเป็นก้าวสำคัญของสหรัฐฯ ในการสร้างความมั่นคงด้านวัตถุดิบสำหรับอุตสาหกรรมเซมิคอนดักเตอร์และเทคโนโลยีป้องกันประเทศ โรงงานใหม่ใน Tennessee จะมีความสามารถในการผลิตแร่หายากกว่า 540,000 ตันต่อปี ครอบคลุม gallium, germanium, indium และทรัพยากรอื่น ๆ ที่จำเป็นต่อการผลิตชิป, ดาวเทียม, เครื่องยนต์เจ็ต และระบบอาวุธสมัยใหม่

    สหรัฐฯ ปัจจุบันพึ่งพาจีนอย่างหนักในด้านการนำเข้าและการแปรรูปแร่หายาก โดยจีนครองตลาดโลกกว่า 90% การสร้างโรงงานนี้จึงถูกมองว่าเป็นการลดความเสี่ยงจากการถูกจีนควบคุมห่วงโซ่อุปทาน ซึ่งที่ผ่านมาได้ส่งผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์เมื่อจีนใช้มาตรการควบคุมการส่งออกเพื่อตอบโต้สงครามการค้า

    นอกจากโรงงานของ Korea Zinc แล้ว รัฐบาลสหรัฐฯ ยังพิจารณาใช้เงินทุนจาก CHIPS Act กว่า 2 พันล้านดอลลาร์เพื่อเสริมสร้างห่วงโซ่อุปทานด้านแร่หายากและลิเธียม ซึ่งเป็นหัวใจสำคัญของแบตเตอรี่และเทคโนโลยีพลังงานสะอาดในอนาคต การลงทุนนี้สะท้อนให้เห็นว่าแร่หายากกำลังถูกยกระดับเป็น “ทรัพยากรเชิงยุทธศาสตร์” ไม่ต่างจากน้ำมันในศตวรรษที่ 20

    สรุปประเด็นสำคัญ
    Trump ประกาศดีลกับ Korea Zinc
    สร้างโรงงานแปรรูปแร่หายากใน Tennessee

    กำลังการผลิตมหาศาล
    ผลิต gallium, germanium, indium และอื่น ๆ รวมกว่า 540,000 ตันต่อปี

    ลดการพึ่งพาจีน
    จีนครองตลาดแร่หายากกว่า 90% และเคยใช้มาตรการควบคุมการส่งออก

    เชื่อมโยงกับ CHIPS Act
    รัฐบาลสหรัฐฯ พิจารณาใช้เงินทุน 2 พันล้านดอลลาร์เสริมความมั่นคงด้านแร่หายาก

    คำเตือนด้านความเสี่ยง
    การลงทุนต้องใช้เวลาหลายปี กว่าจะลดการพึ่งพาจีนได้จริง
    ความต้องการแร่หายากยังคงสูงขึ้นต่อเนื่องจาก AI, พลังงานสะอาด และการทหาร

    https://www.tomshardware.com/tech-industry/trump-secures-deal-with-korea-zinc-to-build-rare-earths-processing-facility-in-tennessee-facility-expected-to-have-annual-output-of-540-000-tons-of-gallium-germanium-indium-and-other-resources
    📰 “Trump ดันดีล Korea Zinc – โรงงานแร่หายากใน Tennessee ลดการพึ่งพาจีน” การลงทุนครั้งนี้ถือเป็นก้าวสำคัญของสหรัฐฯ ในการสร้างความมั่นคงด้านวัตถุดิบสำหรับอุตสาหกรรมเซมิคอนดักเตอร์และเทคโนโลยีป้องกันประเทศ โรงงานใหม่ใน Tennessee จะมีความสามารถในการผลิตแร่หายากกว่า 540,000 ตันต่อปี ครอบคลุม gallium, germanium, indium และทรัพยากรอื่น ๆ ที่จำเป็นต่อการผลิตชิป, ดาวเทียม, เครื่องยนต์เจ็ต และระบบอาวุธสมัยใหม่ สหรัฐฯ ปัจจุบันพึ่งพาจีนอย่างหนักในด้านการนำเข้าและการแปรรูปแร่หายาก โดยจีนครองตลาดโลกกว่า 90% การสร้างโรงงานนี้จึงถูกมองว่าเป็นการลดความเสี่ยงจากการถูกจีนควบคุมห่วงโซ่อุปทาน ซึ่งที่ผ่านมาได้ส่งผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์เมื่อจีนใช้มาตรการควบคุมการส่งออกเพื่อตอบโต้สงครามการค้า นอกจากโรงงานของ Korea Zinc แล้ว รัฐบาลสหรัฐฯ ยังพิจารณาใช้เงินทุนจาก CHIPS Act กว่า 2 พันล้านดอลลาร์เพื่อเสริมสร้างห่วงโซ่อุปทานด้านแร่หายากและลิเธียม ซึ่งเป็นหัวใจสำคัญของแบตเตอรี่และเทคโนโลยีพลังงานสะอาดในอนาคต การลงทุนนี้สะท้อนให้เห็นว่าแร่หายากกำลังถูกยกระดับเป็น “ทรัพยากรเชิงยุทธศาสตร์” ไม่ต่างจากน้ำมันในศตวรรษที่ 20 📌 สรุปประเด็นสำคัญ ✅ Trump ประกาศดีลกับ Korea Zinc ➡️ สร้างโรงงานแปรรูปแร่หายากใน Tennessee ✅ กำลังการผลิตมหาศาล ➡️ ผลิต gallium, germanium, indium และอื่น ๆ รวมกว่า 540,000 ตันต่อปี ✅ ลดการพึ่งพาจีน ➡️ จีนครองตลาดแร่หายากกว่า 90% และเคยใช้มาตรการควบคุมการส่งออก ✅ เชื่อมโยงกับ CHIPS Act ➡️ รัฐบาลสหรัฐฯ พิจารณาใช้เงินทุน 2 พันล้านดอลลาร์เสริมความมั่นคงด้านแร่หายาก ‼️ คำเตือนด้านความเสี่ยง ⛔ การลงทุนต้องใช้เวลาหลายปี กว่าจะลดการพึ่งพาจีนได้จริง ⛔ ความต้องการแร่หายากยังคงสูงขึ้นต่อเนื่องจาก AI, พลังงานสะอาด และการทหาร https://www.tomshardware.com/tech-industry/trump-secures-deal-with-korea-zinc-to-build-rare-earths-processing-facility-in-tennessee-facility-expected-to-have-annual-output-of-540-000-tons-of-gallium-germanium-indium-and-other-resources
    0 Comments 0 Shares 98 Views 0 Reviews
  • “Intel ปรับทัพครั้งใหญ่ – ดึงอดีตที่ปรึกษา Trump นั่งหัวหน้าฝ่ายการเมือง พร้อมตั้ง CTO ใหม่”

    Intel กำลังสร้างสายสัมพันธ์ที่แน่นแฟ้นกับรัฐบาลสหรัฐฯ โดยแต่งตั้ง Robin Colwell อดีตที่ปรึกษาเศรษฐกิจของประธานาธิบดี Trump และรองผู้อำนวยการ National Economic Council เข้ามารับตำแหน่งหัวหน้าฝ่าย Government Affairs เพื่อดูแลการประสานงานกับภาครัฐ การแต่งตั้งครั้งนี้สะท้อนถึงความพยายามของ Intel ที่จะรักษาความสัมพันธ์เชิงกลยุทธ์กับรัฐบาล หลังจากที่สหรัฐฯ เข้ามาถือหุ้น 10% ในบริษัท

    นอกจากนี้ Intel ยังสร้างตำแหน่งใหม่ชื่อ Intel Government Technologies โดยแต่งตั้ง James Chew ซึ่งเป็นอดีตเพื่อนร่วมงานของ CEO Lip-Bu Tan เข้ามาดูแล แม้ Chew จะไม่มีประสบการณ์ตรงกับรัฐบาล แต่เขามีพื้นฐานจาก Cadence Design Systems บริษัทที่เคยถูกปรับจากการทำธุรกิจกับจีนในโครงการที่เกี่ยวข้องกับการจำลองระเบิดนิวเคลียร์ ทำให้การแต่งตั้งครั้งนี้ถูกจับตามองอย่างใกล้ชิด

    ในด้านเทคโนโลยี Intel ได้แต่งตั้ง Pushkar Ranade เป็น CTO ชั่วคราว หลังจากที่ CTO คนก่อนหน้า Sanchin Katti ลาออกไปทำงานกับ OpenAI การเปลี่ยนแปลงนี้เกิดขึ้นในช่วงที่ Intel กำลังพยายามเร่งพัฒนาเทคโนโลยีใหม่ ๆ เพื่อแข่งขันกับ Nvidia และ TSMC โดย Ranade จะทำงานใกล้ชิดกับ CEO Lip-Bu Tan ในการกำหนดกลยุทธ์ด้าน Foundry และ AI

    การปรับโครงสร้างครั้งนี้ไม่เพียงแต่สะท้อนถึงความพยายามของ Intel ในการเสริมความแข็งแกร่งด้านการเมืองและเทคโนโลยี แต่ยังเป็นการส่งสัญญาณว่าบริษัทกำลังเตรียมพร้อมสำหรับการแข่งขันที่ดุเดือดในตลาดเซมิคอนดักเตอร์โลก

    สรุปประเด็นสำคัญ
    Robin Colwell เข้ารับตำแหน่งหัวหน้าฝ่าย Government Affairs
    มีประสบการณ์ตรงจากการทำงานกับประธานาธิบดี Trump และ National Economic Council

    Intel สร้างตำแหน่งใหม่ “Intel Government Technologies”
    แต่งตั้ง James Chew แม้ไม่มีประสบการณ์ตรงกับรัฐบาล แต่มีสายสัมพันธ์กับ CEO

    Pushkar Ranade เป็น CTO ชั่วคราว
    รับหน้าที่ต่อจาก Sanchin Katti ที่ลาออกไปทำงานกับ OpenAI

    การปรับโครงสร้างสะท้อนกลยุทธ์ใหม่ของ Intel
    เน้นการเชื่อมโยงกับรัฐบาลและเร่งพัฒนาเทคโนโลยีแข่งขันกับ Nvidia และ TSMC

    ความเสี่ยงจากการแต่งตั้ง James Chew
    เคยมีประวัติจาก Cadence Design Systems ที่ถูกปรับเรื่องการทำธุรกิจกับจีน

    ความท้าทายด้านเทคโนโลยี
    Intel ต้องเร่งพัฒนา Foundry และ AI เพื่อไม่ให้เสียเปรียบคู่แข่งรายใหญ่

    https://www.tomshardware.com/tech-industry/intel-appoints-trump-economic-advisor-as-head-of-government-affairs-announces-broader-leadership-shakeup-new-interim-cto
    📰 “Intel ปรับทัพครั้งใหญ่ – ดึงอดีตที่ปรึกษา Trump นั่งหัวหน้าฝ่ายการเมือง พร้อมตั้ง CTO ใหม่” Intel กำลังสร้างสายสัมพันธ์ที่แน่นแฟ้นกับรัฐบาลสหรัฐฯ โดยแต่งตั้ง Robin Colwell อดีตที่ปรึกษาเศรษฐกิจของประธานาธิบดี Trump และรองผู้อำนวยการ National Economic Council เข้ามารับตำแหน่งหัวหน้าฝ่าย Government Affairs เพื่อดูแลการประสานงานกับภาครัฐ การแต่งตั้งครั้งนี้สะท้อนถึงความพยายามของ Intel ที่จะรักษาความสัมพันธ์เชิงกลยุทธ์กับรัฐบาล หลังจากที่สหรัฐฯ เข้ามาถือหุ้น 10% ในบริษัท นอกจากนี้ Intel ยังสร้างตำแหน่งใหม่ชื่อ Intel Government Technologies โดยแต่งตั้ง James Chew ซึ่งเป็นอดีตเพื่อนร่วมงานของ CEO Lip-Bu Tan เข้ามาดูแล แม้ Chew จะไม่มีประสบการณ์ตรงกับรัฐบาล แต่เขามีพื้นฐานจาก Cadence Design Systems บริษัทที่เคยถูกปรับจากการทำธุรกิจกับจีนในโครงการที่เกี่ยวข้องกับการจำลองระเบิดนิวเคลียร์ ทำให้การแต่งตั้งครั้งนี้ถูกจับตามองอย่างใกล้ชิด ในด้านเทคโนโลยี Intel ได้แต่งตั้ง Pushkar Ranade เป็น CTO ชั่วคราว หลังจากที่ CTO คนก่อนหน้า Sanchin Katti ลาออกไปทำงานกับ OpenAI การเปลี่ยนแปลงนี้เกิดขึ้นในช่วงที่ Intel กำลังพยายามเร่งพัฒนาเทคโนโลยีใหม่ ๆ เพื่อแข่งขันกับ Nvidia และ TSMC โดย Ranade จะทำงานใกล้ชิดกับ CEO Lip-Bu Tan ในการกำหนดกลยุทธ์ด้าน Foundry และ AI การปรับโครงสร้างครั้งนี้ไม่เพียงแต่สะท้อนถึงความพยายามของ Intel ในการเสริมความแข็งแกร่งด้านการเมืองและเทคโนโลยี แต่ยังเป็นการส่งสัญญาณว่าบริษัทกำลังเตรียมพร้อมสำหรับการแข่งขันที่ดุเดือดในตลาดเซมิคอนดักเตอร์โลก 📌 สรุปประเด็นสำคัญ ✅ Robin Colwell เข้ารับตำแหน่งหัวหน้าฝ่าย Government Affairs ➡️ มีประสบการณ์ตรงจากการทำงานกับประธานาธิบดี Trump และ National Economic Council ✅ Intel สร้างตำแหน่งใหม่ “Intel Government Technologies” ➡️ แต่งตั้ง James Chew แม้ไม่มีประสบการณ์ตรงกับรัฐบาล แต่มีสายสัมพันธ์กับ CEO ✅ Pushkar Ranade เป็น CTO ชั่วคราว ➡️ รับหน้าที่ต่อจาก Sanchin Katti ที่ลาออกไปทำงานกับ OpenAI ✅ การปรับโครงสร้างสะท้อนกลยุทธ์ใหม่ของ Intel ➡️ เน้นการเชื่อมโยงกับรัฐบาลและเร่งพัฒนาเทคโนโลยีแข่งขันกับ Nvidia และ TSMC ‼️ ความเสี่ยงจากการแต่งตั้ง James Chew ⛔ เคยมีประวัติจาก Cadence Design Systems ที่ถูกปรับเรื่องการทำธุรกิจกับจีน ‼️ ความท้าทายด้านเทคโนโลยี ⛔ Intel ต้องเร่งพัฒนา Foundry และ AI เพื่อไม่ให้เสียเปรียบคู่แข่งรายใหญ่ https://www.tomshardware.com/tech-industry/intel-appoints-trump-economic-advisor-as-head-of-government-affairs-announces-broader-leadership-shakeup-new-interim-cto
    0 Comments 0 Shares 116 Views 0 Reviews
  • ดาวเทียมยุคใหม่ทำได้มากกว่าที่คิด

    จำนวนดาวเทียมในวงโคจรโลกเพิ่มขึ้นอย่างรวดเร็วกว่า 11,000 ดวง ณ พฤษภาคม 2025 และไม่ได้มีแค่จำนวนที่มากขึ้น แต่ยังมีความสามารถที่หลากหลายและซับซ้อนกว่าเดิม ดาวเทียมไม่ได้เป็นเพียงเครื่องมือสื่อสารหรือ GPS อีกต่อไป แต่กำลังกลายเป็นแพลตฟอร์มที่สามารถผลิตสินค้า, ตรวจสอบภัยพิบัติ และแม้แต่ตัดสินใจเองได้โดยไม่ต้องพึ่งมนุษย์

    การผลิตในอวกาศและการมองทะลุเมฆ
    บริษัทเอกชนเริ่มส่งดาวเทียมขึ้นไปเพื่อทดลอง การผลิตในสภาวะไร้น้ำหนัก เช่น การสร้างผลึกโมเลกุลสำหรับอุตสาหกรรมยา และการผลิตเซมิคอนดักเตอร์ที่ยากต่อการทำบนโลก นอกจากนี้ เทคโนโลยี Synthetic Aperture Radar (SAR) ทำให้ดาวเทียมสามารถมองทะลุเมฆและกลางคืนได้ ซึ่งถูกใช้จริงในการตรวจสอบการเคลื่อนทัพของรัสเซียในสงครามยูเครน และช่วยประเมินผลกระทบจากภัยพิบัติได้อย่างรวดเร็ว

    ดาวเทียมฝูงและระบบหลบหลีกอัตโนมัติ
    จากเดิมที่ดาวเทียมถูกส่งขึ้นไปแบบเดี่ยวหรือเป็นกลุ่มเรียงแถว ปัจจุบัน NASA กำลังทดสอบการเคลื่อนที่แบบ swarm ที่ดาวเทียมสามารถสื่อสารและประสานงานกันเองเพื่อหลบหลีกเศษซากอวกาศและดาวเทียมอื่น ๆ โดยไม่ต้องรอคำสั่งจากโลก ระบบนี้ช่วยลดความเสี่ยงการชนและเพิ่มความยืดหยุ่นในการจัดการวงโคจร

    ดาวเทียมกับ “X-ray vision”
    นอกจากการสังเกตจักรวาลด้วยกล้อง X-ray แล้ว ปัจจุบันมีการพัฒนาดาวเทียมที่สามารถใช้ X-ray ตรวจสอบภายในดาวเทียมอื่น เพื่อดูว่ามีการเสียหายหรือถูกเศษซากอวกาศชนหรือไม่ ความสามารถนี้ยังถูกมองว่าเป็นเครื่องมือสำคัญในยุคการแข่งขันด้านอวกาศที่มีความตึงเครียดทางการเมืองเพิ่มขึ้น

    สรุปประเด็นสำคัญ
    จำนวนดาวเทียมเพิ่มขึ้นกว่า 11,000 ดวงในปี 2025
    สะท้อนการเติบโตของอุตสาหกรรมอวกาศ

    การผลิตในอวกาศ
    ผลึกโมเลกุลสำหรับยา
    เซมิคอนดักเตอร์ในสภาวะไร้น้ำหนัก

    เทคโนโลยี SAR
    มองทะลุเมฆและกลางคืน
    ใช้ตรวจสอบสงครามและภัยพิบัติ

    ดาวเทียมฝูงและระบบหลบหลีกอัตโนมัติ
    ประสานงานกันเองโดยไม่ต้องรอคำสั่งจากโลก

    X-ray vision ของดาวเทียม
    ตรวจสอบภายในดาวเทียมอื่น
    ใช้ทั้งด้านวิทยาศาสตร์และความมั่นคง

    คำเตือน
    การเพิ่มจำนวนดาวเทียมทำให้ความเสี่ยงการชนสูงขึ้น
    ความสามารถ X-ray อาจถูกใช้ในเชิงการทหารและการสอดแนม

    https://www.slashgear.com/2049270/satellite-facts-didnt-teach-in-school/
    🛰️ ดาวเทียมยุคใหม่ทำได้มากกว่าที่คิด จำนวนดาวเทียมในวงโคจรโลกเพิ่มขึ้นอย่างรวดเร็วกว่า 11,000 ดวง ณ พฤษภาคม 2025 และไม่ได้มีแค่จำนวนที่มากขึ้น แต่ยังมีความสามารถที่หลากหลายและซับซ้อนกว่าเดิม ดาวเทียมไม่ได้เป็นเพียงเครื่องมือสื่อสารหรือ GPS อีกต่อไป แต่กำลังกลายเป็นแพลตฟอร์มที่สามารถผลิตสินค้า, ตรวจสอบภัยพิบัติ และแม้แต่ตัดสินใจเองได้โดยไม่ต้องพึ่งมนุษย์ ⚡ การผลิตในอวกาศและการมองทะลุเมฆ บริษัทเอกชนเริ่มส่งดาวเทียมขึ้นไปเพื่อทดลอง การผลิตในสภาวะไร้น้ำหนัก เช่น การสร้างผลึกโมเลกุลสำหรับอุตสาหกรรมยา และการผลิตเซมิคอนดักเตอร์ที่ยากต่อการทำบนโลก นอกจากนี้ เทคโนโลยี Synthetic Aperture Radar (SAR) ทำให้ดาวเทียมสามารถมองทะลุเมฆและกลางคืนได้ ซึ่งถูกใช้จริงในการตรวจสอบการเคลื่อนทัพของรัสเซียในสงครามยูเครน และช่วยประเมินผลกระทบจากภัยพิบัติได้อย่างรวดเร็ว 🌐 ดาวเทียมฝูงและระบบหลบหลีกอัตโนมัติ จากเดิมที่ดาวเทียมถูกส่งขึ้นไปแบบเดี่ยวหรือเป็นกลุ่มเรียงแถว ปัจจุบัน NASA กำลังทดสอบการเคลื่อนที่แบบ swarm ที่ดาวเทียมสามารถสื่อสารและประสานงานกันเองเพื่อหลบหลีกเศษซากอวกาศและดาวเทียมอื่น ๆ โดยไม่ต้องรอคำสั่งจากโลก ระบบนี้ช่วยลดความเสี่ยงการชนและเพิ่มความยืดหยุ่นในการจัดการวงโคจร 🔎 ดาวเทียมกับ “X-ray vision” นอกจากการสังเกตจักรวาลด้วยกล้อง X-ray แล้ว ปัจจุบันมีการพัฒนาดาวเทียมที่สามารถใช้ X-ray ตรวจสอบภายในดาวเทียมอื่น เพื่อดูว่ามีการเสียหายหรือถูกเศษซากอวกาศชนหรือไม่ ความสามารถนี้ยังถูกมองว่าเป็นเครื่องมือสำคัญในยุคการแข่งขันด้านอวกาศที่มีความตึงเครียดทางการเมืองเพิ่มขึ้น 📌 สรุปประเด็นสำคัญ ✅ จำนวนดาวเทียมเพิ่มขึ้นกว่า 11,000 ดวงในปี 2025 ➡️ สะท้อนการเติบโตของอุตสาหกรรมอวกาศ ✅ การผลิตในอวกาศ ➡️ ผลึกโมเลกุลสำหรับยา ➡️ เซมิคอนดักเตอร์ในสภาวะไร้น้ำหนัก ✅ เทคโนโลยี SAR ➡️ มองทะลุเมฆและกลางคืน ➡️ ใช้ตรวจสอบสงครามและภัยพิบัติ ✅ ดาวเทียมฝูงและระบบหลบหลีกอัตโนมัติ ➡️ ประสานงานกันเองโดยไม่ต้องรอคำสั่งจากโลก ✅ X-ray vision ของดาวเทียม ➡️ ตรวจสอบภายในดาวเทียมอื่น ➡️ ใช้ทั้งด้านวิทยาศาสตร์และความมั่นคง ‼️ คำเตือน ⛔ การเพิ่มจำนวนดาวเทียมทำให้ความเสี่ยงการชนสูงขึ้น ⛔ ความสามารถ X-ray อาจถูกใช้ในเชิงการทหารและการสอดแนม https://www.slashgear.com/2049270/satellite-facts-didnt-teach-in-school/
    WWW.SLASHGEAR.COM
    5 Things About Satellites They Didn't Teach You In School - SlashGear
    Satellites have come a long way in the last couple years, and what you learned about them in school is likely far different from their current uses.
    0 Comments 0 Shares 67 Views 0 Reviews
  • รวมข่าวจากเวบ TechRadar

    #รวมข่าวIT #20251213 #TechRadar

    นักลงทุนไต้หวันยังคงทุ่มกับ AI แม้มีเสียงเตือนเรื่อง “ฟองสบู่”
    เรื่องราวนี้เล่าถึงบรรยากาศการลงทุนในไต้หวันที่ยังคงคึกคัก แม้หลายฝ่ายกังวลว่า AI อาจกำลังสร้างฟองสบู่ทางเศรษฐกิจ แต่ตลาดหุ้นไต้หวันกลับพุ่งขึ้นต่อเนื่อง โดยดัชนี TWII มีแนวโน้มแตะ 30,000 จุดในปี 2026 ขณะที่หุ้น TSMC ก็ยังเติบโตแข็งแรงกว่า 39% ในปีนี้ จุดสำคัญคือไต้หวันถือไพ่เหนือกว่า เพราะเป็นผู้ผลิตชิ้นส่วนหลักของสถาปัตยกรรม AI ไม่ว่าจะเป็นชิปจาก Nvidia, Google หรือเจ้าอื่น ๆ ทำให้ไม่ว่าตลาดจะเอนเอียงไปทางไหน ไต้หวันก็ยังได้ประโยชน์ อย่างไรก็ตาม นักวิเคราะห์เตือนว่าพอร์ตลงทุนในเอเชียยังพึ่งพา AI มากเกินไป หากเกิดการแกว่งตัวแรงก็อาจกระทบหนักได้
    https://www.techradar.com/pro/investors-still-doubling-down-on-ai-in-taiwan-despite-bubble-fears

    “สถาปนิกแห่ง AI” ได้รับเลือกเป็นบุคคลแห่งปีของ Time
    ปีนี้นิตยสาร Time ไม่ได้เลือกผู้นำประเทศหรือดารา แต่ยกตำแหน่งบุคคลแห่งปีให้กับกลุ่มผู้สร้าง AI ที่เปลี่ยนโลก ทั้ง Sam Altman จาก OpenAI, Jensen Huang จาก Nvidia และทีมงานจาก Google, Meta, Anthropic พวกเขาไม่เพียงสร้างเทคโนโลยี แต่ยังทำให้มันเข้าถึงได้และกลายเป็นส่วนหนึ่งของชีวิตประจำวัน ตั้งแต่ ChatGPT ที่มีผู้ใช้กว่า 800 ล้านคนต่อสัปดาห์ ไปจนถึง Copilot ของ Microsoft และ Gemini ของ Google ผลงานเหล่านี้ไม่ใช่แค่ธุรกิจ แต่ยังกลายเป็นอำนาจทางเศรษฐกิจและการเมืองระดับโลก เพราะชิปและโมเดล AI ถูกมองเป็นทรัพยากรเชิงยุทธศาสตร์ที่ประเทศต่าง ๆ ต้องแข่งขันกัน
    https://www.techradar.com/ai-platforms-assistants/the-architects-of-ai-are-times-person-of-the-year-heres-why

    สภาขุนนางอังกฤษเสนอห้ามเด็กใช้ VPN
    ในสหราชอาณาจักร กลุ่มสมาชิกสภาขุนนางได้เสนอแก้ไขกฎหมาย Children’s Wellbeing and Schools Bill โดยต้องการห้ามเด็กอายุต่ำกว่า 18 ปีใช้ VPN หากผ่านการพิจารณา ผู้ให้บริการ VPN จะต้องตรวจสอบอายุผู้ใช้ด้วยวิธีที่ “มีประสิทธิภาพสูง” เช่น การยืนยันด้วยบัตรประชาชนหรือการสแกนใบหน้า ซึ่งแน่นอนว่าก่อให้เกิดข้อถกเถียง เพราะ VPN ถูกออกแบบมาเพื่อรักษาความเป็นส่วนตัว การบังคับตรวจสอบเช่นนี้อาจทำลายหลักการพื้นฐานของมันได้
    https://www.techradar.com/vpn/vpn-privacy-security/uk-lords-propose-ban-on-vpns-for-children

    อินเดียสั่ง VPN บล็อกเว็บไซต์ที่เปิดเผยข้อมูลประชาชน
    รัฐบาลอินเดีย โดยกระทรวงอิเล็กทรอนิกส์และเทคโนโลยีสารสนเทศ (MeitY) ได้ออกคำสั่งให้ผู้ให้บริการ VPN ต้องบล็อกเว็บไซต์ที่เผยแพร่ข้อมูลส่วนตัวของประชาชน เช่น ชื่อ ที่อยู่ เบอร์โทร และอีเมล โดยอ้างว่าเป็นภัยต่อความปลอดภัยของผู้ใช้ แม้เจตนาจะเพื่อปกป้องข้อมูล แต่ก็ขัดกับหลักการของ VPN ที่ไม่เก็บบันทึกการใช้งานและเน้นความเป็นส่วนตัว หลายบริษัท VPN เคยถอนเซิร์ฟเวอร์ออกจากอินเดียมาแล้วตั้งแต่ปี 2022 เพราะไม่เห็นด้วยกับข้อกำหนดให้เก็บข้อมูลผู้ใช้ การสั่งการครั้งนี้จึงอาจทำให้เกิดความขัดแย้งระหว่างการคุ้มครองข้อมูลกับสิทธิความเป็นส่วนตัวอีกครั้ง
    https://www.techradar.com/vpn/vpn-privacy-security/india-orders-vpns-to-block-access-to-websites-that-unlawfully-expose-citizens-data

    หลอกลวงงานออนไลน์ “Task Scam” ทำเหยื่อสูญเงินนับล้าน
    งานวิจัยใหม่เผยว่ามีการหลอกลวงรูปแบบใหม่ที่เรียกว่า “Task Scam” หรือ “Gamified Job Scam” เพิ่มขึ้นถึง 485% ในปี 2025 วิธีการคือหลอกให้ผู้หางานทำกิจกรรมง่าย ๆ เช่น กดไลก์หรือรีวิวสินค้า แล้วจ่ายเงินเล็กน้อยเพื่อสร้างความน่าเชื่อถือ จากนั้นจะชักชวนให้โอนเงินหรือฝากคริปโตเพื่อทำงานต่อ แต่สุดท้ายไม่สามารถถอนเงินได้ เหยื่อถูกหลอกให้ฝากเพิ่มเรื่อย ๆ จนสูญเงินรวมกว่า 6.8 ล้านดอลลาร์ในปีเดียว ผู้เชี่ยวชาญเตือนว่าหากงานใดขอให้คุณจ่ายเงินเพื่อเข้าถึงรายได้ นั่นคือสัญญาณอันตรายที่ควรหยุดทันที
    https://www.techradar.com/pro/security/task-scams-are-tricking-thousands-costing-jobseekers-millions

    Pentagon เปิดตัวแพลตฟอร์ม AI ใหม่
    สหรัฐฯ กำลังนำเทคโนโลยี AI ขั้นสูงเข้ามาใช้ในกองทัพ โดยเปิดตัวแพลตฟอร์มชื่อ GenAI.mil ซึ่งจะทำให้เจ้าหน้าที่กว่า 3 ล้านคนทั้งทหารและพลเรือนสามารถเข้าถึงโมเดล Gemini ที่ถูกออกแบบมาเฉพาะสำหรับรัฐบาลได้ จุดประสงค์คือเพื่อให้ทุกคนมีเครื่องมือ AI ที่ทรงพลังไว้ใช้งาน แต่ก็มีเสียงกังวลจากผู้เชี่ยวชาญว่าระบบอาจเสี่ยงต่อการถูกโจมตีด้วยเทคนิค prompt injection ซึ่งอาจนำไปสู่การจารกรรมข้อมูล ขณะเดียวกันพนักงาน Google ก็ยังคงเงียบ แม้ในอดีตเคยออกมาประท้วงการใช้เทคโนโลยีของบริษัทในงานด้านการทหารมาแล้วหลายครั้ง เรื่องนี้จึงเป็นทั้งความก้าวหน้าและความท้าทายที่ต้องจับตา
    https://www.techradar.com/pro/security/pentagon-launches-new-gemini-based-ai-platform

    กลุ่มแฮ็กเกอร์รัสเซีย CyberVolk กลับมาอีกครั้ง
    กลุ่ม CyberVolk ที่เคยหายไปจากวงการไซเบอร์ช่วงหนึ่ง ได้กลับมาเปิดบริการ ransomware-as-a-service ให้กับผู้สนใจผ่าน Telegram แต่การกลับมาครั้งนี้กลับไม่สมบูรณ์นัก เพราะเครื่องมือเข้ารหัสที่ใช้มีช่องโหว่ใหญ่ คือคีย์เข้ารหัสถูกฝังไว้ตายตัว ทำให้เหยื่อสามารถถอดรหัสไฟล์ได้ฟรีโดยไม่ต้องจ่ายค่าไถ่ นักวิจัยเชื่อว่านี่อาจเป็นความผิดพลาดของผู้พัฒนาเอง จึงทำให้การกลับมาครั้งนี้ดูไม่ค่อยน่ากลัวเท่าไร แม้กลุ่มยังคงพยายามผสมผสานการโจมตีแบบ hacktivism กับการหาเงินจาก ransomware ก็ตาม
    https://www.techradar.com/pro/security/notorious-russian-cybercriminals-return-with-new-ransomware

    วิกฤต Flash Memory ที่ยืดเยื้อ
    ตลาดแฟลชเมมโมรีกำลังเผชิญวิกฤตครั้งใหญ่ ราคาพุ่งสูงและขาดแคลนอย่างต่อเนื่อง ต่างจากฮาร์ดดิสก์ที่สามารถเพิ่มกำลังผลิตได้ง่ายกว่า เพราะแฟลชต้องใช้โรงงานเซมิคอนดักเตอร์ที่ลงทุนสูงและใช้เวลาสร้างหลายปี ทำให้ไม่สามารถปรับตัวได้เร็ว แม้ดอกเบี้ยต่ำจะช่วยเรื่องเงินลงทุน แต่ก็ไม่สามารถแก้ปัญหาในระยะสั้นได้ นักวิเคราะห์มองว่านี่ไม่ใช่แค่รอบขึ้นลงตามปกติ แต่เป็นปัญหาเชิงโครงสร้างที่อาจทำให้ราคาสูงต่อเนื่องไปอีกหลายปี
    https://www.techradar.com/pro/why-the-flash-crisis-will-last-much-longer-this-time

    รัสเซียขู่บล็อกบริการ Google ทั้งหมด
    รัฐบาลรัสเซียกำลังพิจารณาบล็อกบริการของ Google แบบเต็มรูปแบบ โดยให้เหตุผลว่าการเก็บข้อมูลผู้ใช้ไว้นอกประเทศเป็นภัยต่อความมั่นคงและเศรษฐกิจ การเคลื่อนไหวนี้เป็นส่วนหนึ่งของการ “บีบอ่อน” เพื่อผลักเทคโนโลยีสหรัฐออกจากรัสเซีย ก่อนหน้านี้ก็มีการบล็อกแพลตฟอร์มตะวันตกหลายแห่ง เช่น Roblox, FaceTime และ Snapchat รวมถึงการกดดันให้ใช้ VPN ยากขึ้นด้วย แนวทางนี้กำลังสร้างสิ่งที่หลายคนเรียกว่า “ม่านเหล็กดิจิทัล” ที่แยกรัสเซียออกจากโลกออนไลน์เสรี
    https://www.techradar.com/vpn/vpn-privacy-security/russia-threatens-to-block-all-google-services-in-a-soft-squeeze-of-us-tech

    Microsoft แจกธีมฟรีสำหรับ Windows 11
    ใครที่เบื่อหน้าจอ Windows 11 ตอนนี้ Microsoft ได้เปิดโซนใหม่ใน Microsoft Store ที่รวมธีมกว่า 400 แบบมาให้เลือก ทั้งธีมเกมดัง ธรรมชาติ ไปจนถึงงานศิลป์ โดยมีธีมใหม่เพิ่มเข้ามาอีก 35 แบบ จุดประสงค์คือทำให้ผู้ใช้ปรับแต่งเครื่องได้ง่ายและสนุกขึ้น เพียงคลิกเดียวก็เปลี่ยนบรรยากาศหน้าจอได้ทันที ถือเป็นการจัดระเบียบครั้งใหญ่ เพราะก่อนหน้านี้การหาธีมใน Store ค่อนข้างยุ่งยาก การอัปเดตนี้จึงช่วยให้การปรับแต่งเครื่องเป็นเรื่องง่ายและน่าสนใจมากขึ้น
    https://www.techradar.com/computing/windows/bored-with-your-windows-11-desktop-microsoft-is-offering-a-free-upgrade-of-handpicked-themes-from-its-store

    Intel, AMD และ Texas Instruments ถูกกล่าวหาว่า “เมินเฉยโดยเจตนา” ปล่อยชิปไปถึงรัสเซีย
    เรื่องนี้เริ่มจากกลุ่มพลเรือนชาวยูเครนที่ยื่นฟ้องบริษัทเทคโนโลยีสหรัฐฯ อย่าง Intel, AMD และ Texas Instruments โดยกล่าวหาว่าชิปที่บริษัทเหล่านี้ผลิตถูกนำไปใช้ในอาวุธของรัสเซียผ่านตัวแทนจำหน่ายรายอื่น ซึ่งนำไปสู่การโจมตีที่คร่าชีวิตพลเรือนหลายสิบคน ฝ่ายโจทก์มองว่าบริษัทเหล่านี้เลือกที่จะ “หลับตา” ไม่สนใจเส้นทางการขายต่อ ขณะที่บริษัททั้งหมดออกมาปฏิเสธ โดยยืนยันว่าหยุดการขายให้รัสเซียตั้งแต่สงครามเริ่ม และปฏิบัติตามกฎหมายการส่งออกอย่างเคร่งครัด เรื่องนี้จึงกลายเป็นคดีใหญ่ที่ต้องพิสูจน์กันในศาลว่าใครควรรับผิดชอบต่อผลลัพธ์ที่เกิดขึ้นจริง
    https://www.techradar.com/pro/security/intel-amd-accused-of-willful-ignorance-in-allowing-chips-to-get-to-russia

    Workbooks เพิ่ม AI ในระบบ CRM เพื่อเสริมพลังทีมขาย
    แพลตฟอร์ม CRM ชื่อ Workbooks ได้ประกาศเพิ่มฟีเจอร์ AI เข้าไปในระบบ โดยมีทั้งเครื่องมือถอดเสียงการประชุมอัตโนมัติ (Scribe), ระบบโค้ชการขาย (Sales Coach), ระบบทำความสะอาดข้อมูล (Sales Hygiene) และตัวช่วยวิจัยลูกค้า (Research Agent) จุดประสงค์คือช่วยลดงานซ้ำซาก เพิ่มความแม่นยำของข้อมูล และทำให้ทีมขายมีเวลาสร้างความสัมพันธ์กับลูกค้ามากขึ้น แม้ปัจจุบันมีเพียง 16% ของบริษัทในสหราชอาณาจักรที่ใช้ AI ใน CRM แต่คาดว่าปี 2026 จะมีการลงทุนเพิ่มขึ้นอย่างมาก ซึ่งใครที่เริ่มก่อนก็จะได้เปรียบในการแข่งขันทันที
    https://www.techradar.com/pro/software-services/workbooks-integrates-ai-promises-empowered-sales-teams

    EU ถูกวิจารณ์ว่ามองข้ามความเสี่ยงในการอนุมัติ Broadcom ซื้อ VMware
    สมาคมผู้ให้บริการคลาวด์ CISPE ได้ยื่นร้องเรียนต่อคณะกรรมาธิการยุโรป หลังจากที่ EU อนุมัติการเข้าซื้อกิจการ VMware โดย Broadcom พวกเขามองว่าการตัดสินใจครั้งนี้ละเลยสัญญาณเตือนที่ชัดเจน เช่น การขึ้นราคาที่รุนแรง การบังคับซื้อแบบแพ็กเกจ และการผูกขาดลูกค้า ซึ่งตอนนี้ผลกระทบก็เริ่มปรากฏแล้ว ทั้งราคาที่สูงขึ้นและสัญญาระยะยาวที่บังคับใช้กับหลายองค์กรในยุโรป หากศาลตัดสินให้เพิกถอนการอนุมัติ EU จะต้องกลับมาทบทวนดีลนี้ใหม่ภายใต้สภาพตลาดปัจจุบัน
    https://www.techradar.com/pro/eu-accused-of-ignoring-warning-signs-in-broadcoms-vmware-acquisition

    Salesforce ชี้โมเดลคิดค่าบริการ AI แบบ “ต่อผู้ใช้” จะกลายเป็นมาตรฐานใหม่
    Marc Benioff ซีอีโอของ Salesforce อธิบายว่าบริษัทกำลังกลับไปใช้การคิดค่าบริการแบบ “ต่อที่นั่ง” สำหรับ AI หลังจากเคยทดลองโมเดลคิดตามการใช้งานหรือจำนวนบทสนทนา เหตุผลคือ ลูกค้าต้องการความแน่นอนและความยืดหยุ่นในการคำนวณค่าใช้จ่าย Salesforce เชื่อว่าบริการ AI สามารถสร้างมูลค่าเพิ่มได้มากถึง 3–10 เท่า จึงสามารถปรับราคาสูงขึ้นได้โดยยังสมเหตุสมผล แม้บางบริษัทจะใช้ AI เพื่อเสริมกำลังคนแทนที่จะลดจำนวนพนักงาน ทำให้การคิดค่าบริการต่อผู้ใช้ยังคงเป็นแนวทางที่เหมาะสมในสายตาของ Salesforce
    https://www.techradar.com/pro/salesforce-says-per-user-pricing-will-be-new-ai-norm

    พบมัลแวร์ใหม่บน MacOS ใช้ AI และเครื่องมือค้นหาเป็นช่องทางแพร่กระจาย
    นักวิจัยด้านความปลอดภัยจาก Huntress เปิดเผยว่าแฮกเกอร์ที่อยู่เบื้องหลังมัลแวร์ AMOS ใช้เทคนิคใหม่ โดยสร้างบทสนทนาใน ChatGPT และ Grok ที่แฝงคำสั่งปลอมเกี่ยวกับการเคลียร์พื้นที่ดิสก์บน MacOS จากนั้นซื้อโฆษณาบน Google เพื่อดันบทสนทนาเหล่านี้ขึ้นมาเป็นผลการค้นหา เมื่อผู้ใช้ทำตามคำแนะนำก็จะติดตั้งมัลแวร์โดยไม่รู้ตัว AMOS สามารถขโมยข้อมูลสำคัญ เช่น รหัสผ่านและกระเป๋าเงินคริปโต ทำให้การโจมตีครั้งนี้อันตรายยิ่งขึ้นเพราะอาศัยความน่าเชื่อถือของแพลตฟอร์มที่ผู้ใช้ไว้วางใจ
    https://www.techradar.com/pro/security/new-macos-malware-exploits-trusted-ai-and-search-tools

    iOS 26.2 อัปเดตใหม่กับ 7 ฟีเจอร์สำคัญ
    Apple ปล่อย iOS 26.2 ให้ผู้ใช้ iPhone ได้อัปเดตกันแล้ว รอบนี้แม้จะเป็นการปรับปรุงเล็ก ๆ แต่หลายอย่างช่วยให้ชีวิตง่ายขึ้น เช่น การตั้ง Reminder ที่มาพร้อมเสียงปลุกกันลืม, AirDrop ที่แชร์กับคนไม่อยู่ในรายชื่อได้สะดวกขึ้นผ่านโค้ด, ปรับแต่ง Liquid Glass ให้หน้าจอดูโปร่งใสตามใจ, Podcasts ที่สร้าง chapter ให้อัตโนมัติ, Sleep Score ที่ปรับเกณฑ์ใหม่ให้ตรงกับความรู้สึกจริง ๆ, Freeform ที่เพิ่มการทำตาราง และ Apple News ที่มี shortcut เข้าส่วนต่าง ๆ ได้เร็วขึ้น ทั้งหมดนี้ยังมาพร้อมการแก้บั๊กและปรับปรุงความปลอดภัยด้วย
    https://www.techradar.com/phones/ios/ios-26-2-has-landed-here-are-the-7-biggest-new-features-for-your-iphone

    AI Regulation: บทเรียนจากยุคอินเทอร์เน็ต
    บทความนี้เล่าย้อนกลับไปถึงยุคแรกของอินเทอร์เน็ตที่แทบไม่มีการควบคุม จนกฎหมาย Telecom Act ปี 1996 เข้ามาจัดระเบียบ แต่ก็ยังไม่แตะเนื้อหาบนเว็บจริง ๆ ปัจจุบัน AI กำลังเติบโตอย่างรวดเร็ว และสหรัฐฯ กำลังถกเถียงกันว่าจะควบคุมอย่างไร ระหว่างรัฐบาลกลางที่อยากให้เบา ๆ เพื่อแข่งขันกับจีน กับรัฐต่าง ๆ ที่อยากปกป้องประชาชนจากอคติและข้อมูลผิด ๆ บทความชี้ว่าหากไม่หาทางออกที่สมดุล อนาคต AI อาจอันตรายไม่ต่างจากพลังงานนิวเคลียร์ที่ไร้การควบคุม
    https://www.techradar.com/ai-platforms-assistants/everyone-is-wrong-about-ai-regulation-and-the-history-of-the-internet-proves-it

    YouTube TV ได้อัปเดตใหม่กับ 5 ฟีเจอร์ที่รอคอย
    Google ปรับปรุงหน้าจอการดูวิดีโอบน YouTube สำหรับทีวีให้ใช้งานง่ายขึ้น ควบคุมต่าง ๆ ถูกจัดใหม่เป็นสามส่วนชัดเจน มีปุ่ม Description ให้ดูข้อมูลวิดีโอแทนการกดชื่อเรื่อง, ปุ่ม Subscribe ที่เห็นชัดตลอดเวลา, การย้ายตำแหน่งชื่อวิดีโอไปด้านบนซ้าย และเพิ่มฟีเจอร์ใหม่สำหรับการดู Live Sports อย่าง Multiview รวมถึง Display Mode สำหรับผู้ใช้ Music และ Premium ทั้งหมดนี้ทำให้ประสบการณ์ดู YouTube บนทีวีใกล้เคียงกับมือถือมากขึ้น
    https://www.techradar.com/televisions/youtube-finally-gets-the-tv-update-weve-been-waiting-for-and-there-are-5-handy-upgrades

    Intel เร่งซื้อ SambaNova สู้ศึกชิป AI ในโลกชิป AI ที่ AMD และ Nvidia ครองตลาด
    Intel กำลังพิจารณาซื้อ SambaNova Systems เพื่อเร่งตามให้ทัน โดย SambaNova เพิ่งโชว์ศักยภาพด้วยการรันโมเดล DeepSeek-R1 ได้เร็วและใช้ทรัพยากรน้อยกว่าปกติ การเข้าซื้อครั้งนี้อาจช่วยให้ Intel มีทางเลือกใหม่ในการแข่งขัน แต่ดีลยังอยู่ในขั้นต้นและไม่ผูกมัด ขณะเดียวกันก็มีข่าวว่าผู้เล่นรายอื่นสนใจเช่นกัน ทำให้การแย่งชิงครั้งนี้น่าจับตามอง
    https://www.techradar.com/pro/intel-set-to-buy-ai-chip-specialist-as-it-scrambles-to-catch-up-with-amd-nvidia

    แฮกเกอร์ปลอมเป็นตำรวจ หลอก Big Tech ขอข้อมูลผู้ใช้
    มีรายงานว่าอาชญากรไซเบอร์ใช้วิธีปลอมตัวเป็นเจ้าหน้าที่ตำรวจ ส่งคำขอข้อมูลไปยังบริษัทเทคโนโลยีใหญ่ ๆ อย่าง Apple หรือ Google โดยใช้เทคนิค typosquatting สร้างอีเมลที่คล้ายของจริง หรือเจาะเข้าบัญชีอีเมลของเจ้าหน้าที่จริงเพื่อส่งคำขอ ทำให้บริษัทบางแห่งหลงเชื่อและส่งข้อมูลผู้ใช้ไปโดยไม่รู้ตัว อย่างไรก็ตาม ปัจจุบันบริษัทใหญ่เริ่มใช้ระบบตรวจสอบคำขอเข้มงวดขึ้นเพื่อลดความเสี่ยง
    https://www.techradar.com/pro/security/hackers-posing-as-law-enforcement-are-tricking-big-tech-to-get-access-to-private-data

    AMD เปิดตัว Radeon AI PRO R9700S การ์ดจอเงียบทรงพลังสำหรับงาน AI หนัก
    AMD กำลังสร้างความฮือฮาในวงการด้วยการ์ดจอรุ่นใหม่ Radeon AI PRO R9700S ที่มาพร้อมหน่วยความจำ 32GB GDDR6 และระบบระบายความร้อนแบบ passive cooling ทำให้สามารถทำงานได้อย่างเงียบในสภาพแวดล้อมที่มีการ์ดหลายตัวติดตั้งอยู่ใน rack แน่น ๆ จุดเด่นคือพลังการประมวลผลสูงถึง 47.8 TFLOPS และรองรับ PCIe 5.0 x16 เพื่อการสื่อสารข้อมูลที่รวดเร็ว เหมาะสำหรับงาน AI ขนาดใหญ่ เช่นการฝึกโมเดลภาษาหรือการประมวลผลเชิงสร้างสรรค์ จุดที่น่าสนใจคือแม้จะไม่มีพัดลม แต่ยังคงใช้พลังงานสูงถึง 300W ซึ่งองค์กรที่นำไปใช้ต้องวางแผนการจัดการความร้อนอย่างจริงจัง
    https://www.techradar.com/pro/did-amd-just-launch-the-fastest-silent-video-cards-ever-passively-cooled-32gb-ddr6-radeon-ai-pro-r9700s-debuts-with-ginormous-300w-tdp

    Zotac เปิดตัว Mini PC เล็กแต่แรง บรรจุ RTX 5060 Ti เต็มตัว
    Zotac สร้างความประหลาดใจด้วยการเปิดตัว ZBOX MAGNUS EN275060TC ที่สามารถบรรจุการ์ดจอระดับ desktop อย่าง RTX 5060 Ti ขนาด 16GB ลงไปในเครื่องเล็กเพียง 2.65 ลิตรได้สำเร็จ โดยใช้เทคนิคการส่งพลังงานผ่าน PCIe แบบ hybrid ทำให้ไม่ต้องใช้สายต่อพลังงานภายนอก ผลการทดสอบชี้ว่าประสิทธิภาพใกล้เคียงกับ RTX 5070 Ti รุ่น laptop และยังคุ้มค่าด้านราคาเมื่อเทียบกับพลังที่ได้ แม้จะมีข้อกังวลเรื่องความร้อน แต่ถือเป็นการยกระดับ mini PC ให้สามารถแข่งขันกับเครื่องใหญ่ได้อย่างน่าทึ่ง
    https://www.techradar.com/pro/this-zotac-mini-pc-has-the-most-powerful-gpu-ever-bundled-in-a-pc-of-this-size-16gb-geforce-rtx-5060-ti-is-competitive-with-5070-ti-laptop-edition

    อดีตพนักงาน Accenture ถูก DoJ ตั้งข้อหาฉ้อโกงด้านความปลอดภัยระบบคลาวด์
    ข่าวใหญ่ในสายความปลอดภัยไซเบอร์ เมื่อกระทรวงยุติธรรมสหรัฐฯ ตั้งข้อหาอดีตผู้จัดการผลิตภัณฑ์ของ Accenture ที่ถูกกล่าวหาว่าให้ข้อมูลเท็จเกี่ยวกับมาตรการความปลอดภัยของระบบคลาวด์เพื่อให้ได้สัญญากับรัฐบาล ทั้งที่จริงแล้วแพลตฟอร์มไม่ได้ผ่านมาตรฐาน FedRAMP ตามที่กำหนด การกระทำนี้ถูกตีความว่าเป็นการหลอกลวงและมีการส่งเอกสารปลอมเพื่อรักษาสัญญา หากถูกตัดสินว่ามีความผิด เขาอาจต้องโทษจำคุกสูงสุดถึง 20 ปีในข้อหาฉ้อโกงและการขัดขวางการตรวจสอบ
    https://www.techradar.com/pro/security/former-accenture-employee-charged-by-doj-for-cloud-security-fraud

    สหรัฐฯ ยกเลิกการแบน Nvidia H200 หลัง Huawei Ascend 910C แรงจนท้าทายอำนาจโลก AI
    รัฐบาลสหรัฐฯ ตัดสินใจอนุญาตให้ส่งออกชิป Nvidia H200 ไปยังจีน โดยมีการเก็บค่าธรรมเนียม 25% ต่อการส่งออก หลังจากพบว่า Huawei กำลังพัฒนา Ascend 910C ที่มีประสิทธิภาพสูงมาก โดยระบบ CloudMatrix 384 ของ Huawei สามารถทำงานได้ถึง 300 petaflops และมีหน่วยความจำรวมมากกว่า Nvidia GB200 NVL72 แม้จะใช้พลังงานมากกว่า แต่ก็แสดงให้เห็นถึงศักยภาพที่อาจท้าทายความเป็นผู้นำของสหรัฐฯ การตัดสินใจครั้งนี้จึงถูกมองว่าเป็นการรักษาสมดุลระหว่างความมั่นคงและเศรษฐกิจ
    https://www.techradar.com/pro/is-the-us-afraid-of-huawei-reports-hint-at-the-ascend-910c-accelerator-performance-to-justify-the-surprising-reversal-of-nvidias-h200-ai-gpu-ban-on-china

    ChatGPT เตรียมเปิดโหมดผู้ใหญ่ แต่ไม่ใช่อย่างที่คิด
    OpenAI ประกาศว่าจะเปิดตัว “adult mode” สำหรับ ChatGPT ในปี 2026 โดยจะใช้ AI ตรวจจับอายุผู้ใช้จากพฤติกรรมการสนทนาเพื่อให้เข้าถึงเนื้อหาที่เป็นผู้ใหญ่ได้ จุดสำคัญคือไม่ได้หมายถึงการเปิดให้เข้าถึงเนื้อหาโจ่งแจ้งเพียงอย่างเดียว แต่ยังรวมถึงการพูดคุยในหัวข้อที่ปัจจุบันถูกจำกัด เช่นเรื่องความสัมพันธ์ สุขภาพจิต หรือประเด็นที่ไม่เหมาะสำหรับเด็ก การพัฒนานี้ถูกมองว่าเป็นการสร้างความยืดหยุ่นและตอบสนองผู้ใช้ที่ต้องการประสบการณ์ AI ที่สมจริงและเป็นผู้ใหญ่มากขึ้น แต่ก็ยังต้องรอการทดสอบระบบทำนายอายุให้แม่นยำก่อน
    https://www.techradar.com/ai-platforms-assistants/chatgpt/chatgpts-adult-mode-is-coming-and-it-might-not-be-what-you-think-it-is

    AI Chatbots ก้าวสู่ชีวิตประจำวัน
    รายงานล่าสุดจาก Microsoft เผยให้เห็นว่า Copilot และ AI chatbot ไม่ได้ถูกใช้แค่ในงานเท่านั้น แต่ยังกลายเป็นส่วนหนึ่งของชีวิตประจำวันของผู้คนแล้ว พวกเขาวิเคราะห์จากการสนทนากว่า 37.5 ล้านครั้ง พบว่าการใช้งานบนเดสก์ท็อปมักจะเกี่ยวข้องกับงานระหว่าง 8 โมงเช้าถึง 5 โมงเย็น ส่วนการใช้งานบนมือถือกลับเน้นเรื่องส่วนตัว เช่น สุขภาพและการใช้ชีวิต และเกิดขึ้นตลอดทั้งวัน นอกจากนี้ยังมีรูปแบบการใช้งานที่น่าสนใจ เช่น การเขียนโปรแกรมที่พุ่งสูงในวันทำงาน การเล่นเกมที่มากขึ้นในวันหยุด และคำถามเชิงปรัชญาที่มักจะเกิดขึ้นในช่วงกลางคืน สิ่งเหล่านี้สะท้อนว่า AI ไม่ได้เป็นเพียงเครื่องมือทำงาน แต่ยังถูกใช้เพื่อช่วยให้ผู้คนเข้าใจและจัดการกับชีวิตประจำวันของตนเองด้วย
    https://www.techradar.com/pro/ai-chatbots-are-now-integrated-into-the-full-texture-of-human-life-microsoft-study-claims

    ChatGPT 5.2 ถูกวิจารณ์ว่า “ถอยหลัง”
    OpenAI เปิดตัว ChatGPT 5.2 โดยประกาศว่าเป็นโมเดลที่ฉลาดที่สุดที่เปิดให้ใช้งานทั่วไป แต่เสียงตอบรับจากผู้ใช้กลับไม่ค่อยดีนัก หลายคนใน Reddit บอกว่ามัน “น่าเบื่อ” และ “เป็นทางการเกินไป” จนรู้สึกเหมือนหุ่นยนต์ที่ไม่มีชีวิตชีวา บางคนถึงกับบอกว่ามันแย่กว่าเวอร์ชัน 5.1 ที่ผ่านมา อย่างไรก็ตาม ยังเร็วเกินไปที่จะตัดสิน เพราะผู้ใช้ส่วนใหญ่ยังไม่ได้ลองใช้งานอย่างจริงจัง และอาจเป็นเพียงเสียงจากกลุ่มเล็กที่ไม่พอใจ การเปิดตัวครั้งนี้ยังสะท้อนการแข่งขันที่ดุเดือดระหว่าง OpenAI และ Google Gemini ซึ่งทำให้หลายคนสงสัยว่า OpenAI รีบปล่อยเวอร์ชันใหม่ออกมาเร็วเกินไปหรือไม่
    https://www.techradar.com/ai-platforms-assistants/openai/chatgpt-5-2-branded-a-step-backwards-by-disappointed-early-users-heres-why
    📌📡🟣 รวมข่าวจากเวบ TechRadar 🟣📡📌 #รวมข่าวIT #20251213 #TechRadar 📰 นักลงทุนไต้หวันยังคงทุ่มกับ AI แม้มีเสียงเตือนเรื่อง “ฟองสบู่” เรื่องราวนี้เล่าถึงบรรยากาศการลงทุนในไต้หวันที่ยังคงคึกคัก แม้หลายฝ่ายกังวลว่า AI อาจกำลังสร้างฟองสบู่ทางเศรษฐกิจ แต่ตลาดหุ้นไต้หวันกลับพุ่งขึ้นต่อเนื่อง โดยดัชนี TWII มีแนวโน้มแตะ 30,000 จุดในปี 2026 ขณะที่หุ้น TSMC ก็ยังเติบโตแข็งแรงกว่า 39% ในปีนี้ จุดสำคัญคือไต้หวันถือไพ่เหนือกว่า เพราะเป็นผู้ผลิตชิ้นส่วนหลักของสถาปัตยกรรม AI ไม่ว่าจะเป็นชิปจาก Nvidia, Google หรือเจ้าอื่น ๆ ทำให้ไม่ว่าตลาดจะเอนเอียงไปทางไหน ไต้หวันก็ยังได้ประโยชน์ อย่างไรก็ตาม นักวิเคราะห์เตือนว่าพอร์ตลงทุนในเอเชียยังพึ่งพา AI มากเกินไป หากเกิดการแกว่งตัวแรงก็อาจกระทบหนักได้ 🔗 https://www.techradar.com/pro/investors-still-doubling-down-on-ai-in-taiwan-despite-bubble-fears 👥 “สถาปนิกแห่ง AI” ได้รับเลือกเป็นบุคคลแห่งปีของ Time ปีนี้นิตยสาร Time ไม่ได้เลือกผู้นำประเทศหรือดารา แต่ยกตำแหน่งบุคคลแห่งปีให้กับกลุ่มผู้สร้าง AI ที่เปลี่ยนโลก ทั้ง Sam Altman จาก OpenAI, Jensen Huang จาก Nvidia และทีมงานจาก Google, Meta, Anthropic พวกเขาไม่เพียงสร้างเทคโนโลยี แต่ยังทำให้มันเข้าถึงได้และกลายเป็นส่วนหนึ่งของชีวิตประจำวัน ตั้งแต่ ChatGPT ที่มีผู้ใช้กว่า 800 ล้านคนต่อสัปดาห์ ไปจนถึง Copilot ของ Microsoft และ Gemini ของ Google ผลงานเหล่านี้ไม่ใช่แค่ธุรกิจ แต่ยังกลายเป็นอำนาจทางเศรษฐกิจและการเมืองระดับโลก เพราะชิปและโมเดล AI ถูกมองเป็นทรัพยากรเชิงยุทธศาสตร์ที่ประเทศต่าง ๆ ต้องแข่งขันกัน 🔗 https://www.techradar.com/ai-platforms-assistants/the-architects-of-ai-are-times-person-of-the-year-heres-why 🔒 สภาขุนนางอังกฤษเสนอห้ามเด็กใช้ VPN ในสหราชอาณาจักร กลุ่มสมาชิกสภาขุนนางได้เสนอแก้ไขกฎหมาย Children’s Wellbeing and Schools Bill โดยต้องการห้ามเด็กอายุต่ำกว่า 18 ปีใช้ VPN หากผ่านการพิจารณา ผู้ให้บริการ VPN จะต้องตรวจสอบอายุผู้ใช้ด้วยวิธีที่ “มีประสิทธิภาพสูง” เช่น การยืนยันด้วยบัตรประชาชนหรือการสแกนใบหน้า ซึ่งแน่นอนว่าก่อให้เกิดข้อถกเถียง เพราะ VPN ถูกออกแบบมาเพื่อรักษาความเป็นส่วนตัว การบังคับตรวจสอบเช่นนี้อาจทำลายหลักการพื้นฐานของมันได้ 🔗 https://www.techradar.com/vpn/vpn-privacy-security/uk-lords-propose-ban-on-vpns-for-children 🇮🇳 อินเดียสั่ง VPN บล็อกเว็บไซต์ที่เปิดเผยข้อมูลประชาชน รัฐบาลอินเดีย โดยกระทรวงอิเล็กทรอนิกส์และเทคโนโลยีสารสนเทศ (MeitY) ได้ออกคำสั่งให้ผู้ให้บริการ VPN ต้องบล็อกเว็บไซต์ที่เผยแพร่ข้อมูลส่วนตัวของประชาชน เช่น ชื่อ ที่อยู่ เบอร์โทร และอีเมล โดยอ้างว่าเป็นภัยต่อความปลอดภัยของผู้ใช้ แม้เจตนาจะเพื่อปกป้องข้อมูล แต่ก็ขัดกับหลักการของ VPN ที่ไม่เก็บบันทึกการใช้งานและเน้นความเป็นส่วนตัว หลายบริษัท VPN เคยถอนเซิร์ฟเวอร์ออกจากอินเดียมาแล้วตั้งแต่ปี 2022 เพราะไม่เห็นด้วยกับข้อกำหนดให้เก็บข้อมูลผู้ใช้ การสั่งการครั้งนี้จึงอาจทำให้เกิดความขัดแย้งระหว่างการคุ้มครองข้อมูลกับสิทธิความเป็นส่วนตัวอีกครั้ง 🔗 https://www.techradar.com/vpn/vpn-privacy-security/india-orders-vpns-to-block-access-to-websites-that-unlawfully-expose-citizens-data ⚠️ หลอกลวงงานออนไลน์ “Task Scam” ทำเหยื่อสูญเงินนับล้าน งานวิจัยใหม่เผยว่ามีการหลอกลวงรูปแบบใหม่ที่เรียกว่า “Task Scam” หรือ “Gamified Job Scam” เพิ่มขึ้นถึง 485% ในปี 2025 วิธีการคือหลอกให้ผู้หางานทำกิจกรรมง่าย ๆ เช่น กดไลก์หรือรีวิวสินค้า แล้วจ่ายเงินเล็กน้อยเพื่อสร้างความน่าเชื่อถือ จากนั้นจะชักชวนให้โอนเงินหรือฝากคริปโตเพื่อทำงานต่อ แต่สุดท้ายไม่สามารถถอนเงินได้ เหยื่อถูกหลอกให้ฝากเพิ่มเรื่อย ๆ จนสูญเงินรวมกว่า 6.8 ล้านดอลลาร์ในปีเดียว ผู้เชี่ยวชาญเตือนว่าหากงานใดขอให้คุณจ่ายเงินเพื่อเข้าถึงรายได้ นั่นคือสัญญาณอันตรายที่ควรหยุดทันที 🔗 https://www.techradar.com/pro/security/task-scams-are-tricking-thousands-costing-jobseekers-millions 🛡️ Pentagon เปิดตัวแพลตฟอร์ม AI ใหม่ สหรัฐฯ กำลังนำเทคโนโลยี AI ขั้นสูงเข้ามาใช้ในกองทัพ โดยเปิดตัวแพลตฟอร์มชื่อ GenAI.mil ซึ่งจะทำให้เจ้าหน้าที่กว่า 3 ล้านคนทั้งทหารและพลเรือนสามารถเข้าถึงโมเดล Gemini ที่ถูกออกแบบมาเฉพาะสำหรับรัฐบาลได้ จุดประสงค์คือเพื่อให้ทุกคนมีเครื่องมือ AI ที่ทรงพลังไว้ใช้งาน แต่ก็มีเสียงกังวลจากผู้เชี่ยวชาญว่าระบบอาจเสี่ยงต่อการถูกโจมตีด้วยเทคนิค prompt injection ซึ่งอาจนำไปสู่การจารกรรมข้อมูล ขณะเดียวกันพนักงาน Google ก็ยังคงเงียบ แม้ในอดีตเคยออกมาประท้วงการใช้เทคโนโลยีของบริษัทในงานด้านการทหารมาแล้วหลายครั้ง เรื่องนี้จึงเป็นทั้งความก้าวหน้าและความท้าทายที่ต้องจับตา 🔗 https://www.techradar.com/pro/security/pentagon-launches-new-gemini-based-ai-platform 💻 กลุ่มแฮ็กเกอร์รัสเซีย CyberVolk กลับมาอีกครั้ง กลุ่ม CyberVolk ที่เคยหายไปจากวงการไซเบอร์ช่วงหนึ่ง ได้กลับมาเปิดบริการ ransomware-as-a-service ให้กับผู้สนใจผ่าน Telegram แต่การกลับมาครั้งนี้กลับไม่สมบูรณ์นัก เพราะเครื่องมือเข้ารหัสที่ใช้มีช่องโหว่ใหญ่ คือคีย์เข้ารหัสถูกฝังไว้ตายตัว ทำให้เหยื่อสามารถถอดรหัสไฟล์ได้ฟรีโดยไม่ต้องจ่ายค่าไถ่ นักวิจัยเชื่อว่านี่อาจเป็นความผิดพลาดของผู้พัฒนาเอง จึงทำให้การกลับมาครั้งนี้ดูไม่ค่อยน่ากลัวเท่าไร แม้กลุ่มยังคงพยายามผสมผสานการโจมตีแบบ hacktivism กับการหาเงินจาก ransomware ก็ตาม 🔗 https://www.techradar.com/pro/security/notorious-russian-cybercriminals-return-with-new-ransomware 💾 วิกฤต Flash Memory ที่ยืดเยื้อ ตลาดแฟลชเมมโมรีกำลังเผชิญวิกฤตครั้งใหญ่ ราคาพุ่งสูงและขาดแคลนอย่างต่อเนื่อง ต่างจากฮาร์ดดิสก์ที่สามารถเพิ่มกำลังผลิตได้ง่ายกว่า เพราะแฟลชต้องใช้โรงงานเซมิคอนดักเตอร์ที่ลงทุนสูงและใช้เวลาสร้างหลายปี ทำให้ไม่สามารถปรับตัวได้เร็ว แม้ดอกเบี้ยต่ำจะช่วยเรื่องเงินลงทุน แต่ก็ไม่สามารถแก้ปัญหาในระยะสั้นได้ นักวิเคราะห์มองว่านี่ไม่ใช่แค่รอบขึ้นลงตามปกติ แต่เป็นปัญหาเชิงโครงสร้างที่อาจทำให้ราคาสูงต่อเนื่องไปอีกหลายปี 🔗 https://www.techradar.com/pro/why-the-flash-crisis-will-last-much-longer-this-time 🌐 รัสเซียขู่บล็อกบริการ Google ทั้งหมด รัฐบาลรัสเซียกำลังพิจารณาบล็อกบริการของ Google แบบเต็มรูปแบบ โดยให้เหตุผลว่าการเก็บข้อมูลผู้ใช้ไว้นอกประเทศเป็นภัยต่อความมั่นคงและเศรษฐกิจ การเคลื่อนไหวนี้เป็นส่วนหนึ่งของการ “บีบอ่อน” เพื่อผลักเทคโนโลยีสหรัฐออกจากรัสเซีย ก่อนหน้านี้ก็มีการบล็อกแพลตฟอร์มตะวันตกหลายแห่ง เช่น Roblox, FaceTime และ Snapchat รวมถึงการกดดันให้ใช้ VPN ยากขึ้นด้วย แนวทางนี้กำลังสร้างสิ่งที่หลายคนเรียกว่า “ม่านเหล็กดิจิทัล” ที่แยกรัสเซียออกจากโลกออนไลน์เสรี 🔗 https://www.techradar.com/vpn/vpn-privacy-security/russia-threatens-to-block-all-google-services-in-a-soft-squeeze-of-us-tech 🎨 Microsoft แจกธีมฟรีสำหรับ Windows 11 ใครที่เบื่อหน้าจอ Windows 11 ตอนนี้ Microsoft ได้เปิดโซนใหม่ใน Microsoft Store ที่รวมธีมกว่า 400 แบบมาให้เลือก ทั้งธีมเกมดัง ธรรมชาติ ไปจนถึงงานศิลป์ โดยมีธีมใหม่เพิ่มเข้ามาอีก 35 แบบ จุดประสงค์คือทำให้ผู้ใช้ปรับแต่งเครื่องได้ง่ายและสนุกขึ้น เพียงคลิกเดียวก็เปลี่ยนบรรยากาศหน้าจอได้ทันที ถือเป็นการจัดระเบียบครั้งใหญ่ เพราะก่อนหน้านี้การหาธีมใน Store ค่อนข้างยุ่งยาก การอัปเดตนี้จึงช่วยให้การปรับแต่งเครื่องเป็นเรื่องง่ายและน่าสนใจมากขึ้น 🔗 https://www.techradar.com/computing/windows/bored-with-your-windows-11-desktop-microsoft-is-offering-a-free-upgrade-of-handpicked-themes-from-its-store 📰 Intel, AMD และ Texas Instruments ถูกกล่าวหาว่า “เมินเฉยโดยเจตนา” ปล่อยชิปไปถึงรัสเซีย เรื่องนี้เริ่มจากกลุ่มพลเรือนชาวยูเครนที่ยื่นฟ้องบริษัทเทคโนโลยีสหรัฐฯ อย่าง Intel, AMD และ Texas Instruments โดยกล่าวหาว่าชิปที่บริษัทเหล่านี้ผลิตถูกนำไปใช้ในอาวุธของรัสเซียผ่านตัวแทนจำหน่ายรายอื่น ซึ่งนำไปสู่การโจมตีที่คร่าชีวิตพลเรือนหลายสิบคน ฝ่ายโจทก์มองว่าบริษัทเหล่านี้เลือกที่จะ “หลับตา” ไม่สนใจเส้นทางการขายต่อ ขณะที่บริษัททั้งหมดออกมาปฏิเสธ โดยยืนยันว่าหยุดการขายให้รัสเซียตั้งแต่สงครามเริ่ม และปฏิบัติตามกฎหมายการส่งออกอย่างเคร่งครัด เรื่องนี้จึงกลายเป็นคดีใหญ่ที่ต้องพิสูจน์กันในศาลว่าใครควรรับผิดชอบต่อผลลัพธ์ที่เกิดขึ้นจริง 🔗 https://www.techradar.com/pro/security/intel-amd-accused-of-willful-ignorance-in-allowing-chips-to-get-to-russia 🤖 Workbooks เพิ่ม AI ในระบบ CRM เพื่อเสริมพลังทีมขาย แพลตฟอร์ม CRM ชื่อ Workbooks ได้ประกาศเพิ่มฟีเจอร์ AI เข้าไปในระบบ โดยมีทั้งเครื่องมือถอดเสียงการประชุมอัตโนมัติ (Scribe), ระบบโค้ชการขาย (Sales Coach), ระบบทำความสะอาดข้อมูล (Sales Hygiene) และตัวช่วยวิจัยลูกค้า (Research Agent) จุดประสงค์คือช่วยลดงานซ้ำซาก เพิ่มความแม่นยำของข้อมูล และทำให้ทีมขายมีเวลาสร้างความสัมพันธ์กับลูกค้ามากขึ้น แม้ปัจจุบันมีเพียง 16% ของบริษัทในสหราชอาณาจักรที่ใช้ AI ใน CRM แต่คาดว่าปี 2026 จะมีการลงทุนเพิ่มขึ้นอย่างมาก ซึ่งใครที่เริ่มก่อนก็จะได้เปรียบในการแข่งขันทันที 🔗 https://www.techradar.com/pro/software-services/workbooks-integrates-ai-promises-empowered-sales-teams ⚖️ EU ถูกวิจารณ์ว่ามองข้ามความเสี่ยงในการอนุมัติ Broadcom ซื้อ VMware สมาคมผู้ให้บริการคลาวด์ CISPE ได้ยื่นร้องเรียนต่อคณะกรรมาธิการยุโรป หลังจากที่ EU อนุมัติการเข้าซื้อกิจการ VMware โดย Broadcom พวกเขามองว่าการตัดสินใจครั้งนี้ละเลยสัญญาณเตือนที่ชัดเจน เช่น การขึ้นราคาที่รุนแรง การบังคับซื้อแบบแพ็กเกจ และการผูกขาดลูกค้า ซึ่งตอนนี้ผลกระทบก็เริ่มปรากฏแล้ว ทั้งราคาที่สูงขึ้นและสัญญาระยะยาวที่บังคับใช้กับหลายองค์กรในยุโรป หากศาลตัดสินให้เพิกถอนการอนุมัติ EU จะต้องกลับมาทบทวนดีลนี้ใหม่ภายใต้สภาพตลาดปัจจุบัน 🔗 https://www.techradar.com/pro/eu-accused-of-ignoring-warning-signs-in-broadcoms-vmware-acquisition 💵 Salesforce ชี้โมเดลคิดค่าบริการ AI แบบ “ต่อผู้ใช้” จะกลายเป็นมาตรฐานใหม่ Marc Benioff ซีอีโอของ Salesforce อธิบายว่าบริษัทกำลังกลับไปใช้การคิดค่าบริการแบบ “ต่อที่นั่ง” สำหรับ AI หลังจากเคยทดลองโมเดลคิดตามการใช้งานหรือจำนวนบทสนทนา เหตุผลคือ ลูกค้าต้องการความแน่นอนและความยืดหยุ่นในการคำนวณค่าใช้จ่าย Salesforce เชื่อว่าบริการ AI สามารถสร้างมูลค่าเพิ่มได้มากถึง 3–10 เท่า จึงสามารถปรับราคาสูงขึ้นได้โดยยังสมเหตุสมผล แม้บางบริษัทจะใช้ AI เพื่อเสริมกำลังคนแทนที่จะลดจำนวนพนักงาน ทำให้การคิดค่าบริการต่อผู้ใช้ยังคงเป็นแนวทางที่เหมาะสมในสายตาของ Salesforce 🔗 https://www.techradar.com/pro/salesforce-says-per-user-pricing-will-be-new-ai-norm 🛡️ พบมัลแวร์ใหม่บน MacOS ใช้ AI และเครื่องมือค้นหาเป็นช่องทางแพร่กระจาย นักวิจัยด้านความปลอดภัยจาก Huntress เปิดเผยว่าแฮกเกอร์ที่อยู่เบื้องหลังมัลแวร์ AMOS ใช้เทคนิคใหม่ โดยสร้างบทสนทนาใน ChatGPT และ Grok ที่แฝงคำสั่งปลอมเกี่ยวกับการเคลียร์พื้นที่ดิสก์บน MacOS จากนั้นซื้อโฆษณาบน Google เพื่อดันบทสนทนาเหล่านี้ขึ้นมาเป็นผลการค้นหา เมื่อผู้ใช้ทำตามคำแนะนำก็จะติดตั้งมัลแวร์โดยไม่รู้ตัว AMOS สามารถขโมยข้อมูลสำคัญ เช่น รหัสผ่านและกระเป๋าเงินคริปโต ทำให้การโจมตีครั้งนี้อันตรายยิ่งขึ้นเพราะอาศัยความน่าเชื่อถือของแพลตฟอร์มที่ผู้ใช้ไว้วางใจ 🔗 https://www.techradar.com/pro/security/new-macos-malware-exploits-trusted-ai-and-search-tools 📱 iOS 26.2 อัปเดตใหม่กับ 7 ฟีเจอร์สำคัญ Apple ปล่อย iOS 26.2 ให้ผู้ใช้ iPhone ได้อัปเดตกันแล้ว รอบนี้แม้จะเป็นการปรับปรุงเล็ก ๆ แต่หลายอย่างช่วยให้ชีวิตง่ายขึ้น เช่น การตั้ง Reminder ที่มาพร้อมเสียงปลุกกันลืม, AirDrop ที่แชร์กับคนไม่อยู่ในรายชื่อได้สะดวกขึ้นผ่านโค้ด, ปรับแต่ง Liquid Glass ให้หน้าจอดูโปร่งใสตามใจ, Podcasts ที่สร้าง chapter ให้อัตโนมัติ, Sleep Score ที่ปรับเกณฑ์ใหม่ให้ตรงกับความรู้สึกจริง ๆ, Freeform ที่เพิ่มการทำตาราง และ Apple News ที่มี shortcut เข้าส่วนต่าง ๆ ได้เร็วขึ้น ทั้งหมดนี้ยังมาพร้อมการแก้บั๊กและปรับปรุงความปลอดภัยด้วย 🔗 https://www.techradar.com/phones/ios/ios-26-2-has-landed-here-are-the-7-biggest-new-features-for-your-iphone 🤖 AI Regulation: บทเรียนจากยุคอินเทอร์เน็ต บทความนี้เล่าย้อนกลับไปถึงยุคแรกของอินเทอร์เน็ตที่แทบไม่มีการควบคุม จนกฎหมาย Telecom Act ปี 1996 เข้ามาจัดระเบียบ แต่ก็ยังไม่แตะเนื้อหาบนเว็บจริง ๆ ปัจจุบัน AI กำลังเติบโตอย่างรวดเร็ว และสหรัฐฯ กำลังถกเถียงกันว่าจะควบคุมอย่างไร ระหว่างรัฐบาลกลางที่อยากให้เบา ๆ เพื่อแข่งขันกับจีน กับรัฐต่าง ๆ ที่อยากปกป้องประชาชนจากอคติและข้อมูลผิด ๆ บทความชี้ว่าหากไม่หาทางออกที่สมดุล อนาคต AI อาจอันตรายไม่ต่างจากพลังงานนิวเคลียร์ที่ไร้การควบคุม 🔗 https://www.techradar.com/ai-platforms-assistants/everyone-is-wrong-about-ai-regulation-and-the-history-of-the-internet-proves-it 📺 YouTube TV ได้อัปเดตใหม่กับ 5 ฟีเจอร์ที่รอคอย Google ปรับปรุงหน้าจอการดูวิดีโอบน YouTube สำหรับทีวีให้ใช้งานง่ายขึ้น ควบคุมต่าง ๆ ถูกจัดใหม่เป็นสามส่วนชัดเจน มีปุ่ม Description ให้ดูข้อมูลวิดีโอแทนการกดชื่อเรื่อง, ปุ่ม Subscribe ที่เห็นชัดตลอดเวลา, การย้ายตำแหน่งชื่อวิดีโอไปด้านบนซ้าย และเพิ่มฟีเจอร์ใหม่สำหรับการดู Live Sports อย่าง Multiview รวมถึง Display Mode สำหรับผู้ใช้ Music และ Premium ทั้งหมดนี้ทำให้ประสบการณ์ดู YouTube บนทีวีใกล้เคียงกับมือถือมากขึ้น 🔗 https://www.techradar.com/televisions/youtube-finally-gets-the-tv-update-weve-been-waiting-for-and-there-are-5-handy-upgrades 💻 Intel เร่งซื้อ SambaNova สู้ศึกชิป AI ในโลกชิป AI ที่ AMD และ Nvidia ครองตลาด Intel กำลังพิจารณาซื้อ SambaNova Systems เพื่อเร่งตามให้ทัน โดย SambaNova เพิ่งโชว์ศักยภาพด้วยการรันโมเดล DeepSeek-R1 ได้เร็วและใช้ทรัพยากรน้อยกว่าปกติ การเข้าซื้อครั้งนี้อาจช่วยให้ Intel มีทางเลือกใหม่ในการแข่งขัน แต่ดีลยังอยู่ในขั้นต้นและไม่ผูกมัด ขณะเดียวกันก็มีข่าวว่าผู้เล่นรายอื่นสนใจเช่นกัน ทำให้การแย่งชิงครั้งนี้น่าจับตามอง 🔗 https://www.techradar.com/pro/intel-set-to-buy-ai-chip-specialist-as-it-scrambles-to-catch-up-with-amd-nvidia 🛡️ แฮกเกอร์ปลอมเป็นตำรวจ หลอก Big Tech ขอข้อมูลผู้ใช้ มีรายงานว่าอาชญากรไซเบอร์ใช้วิธีปลอมตัวเป็นเจ้าหน้าที่ตำรวจ ส่งคำขอข้อมูลไปยังบริษัทเทคโนโลยีใหญ่ ๆ อย่าง Apple หรือ Google โดยใช้เทคนิค typosquatting สร้างอีเมลที่คล้ายของจริง หรือเจาะเข้าบัญชีอีเมลของเจ้าหน้าที่จริงเพื่อส่งคำขอ ทำให้บริษัทบางแห่งหลงเชื่อและส่งข้อมูลผู้ใช้ไปโดยไม่รู้ตัว อย่างไรก็ตาม ปัจจุบันบริษัทใหญ่เริ่มใช้ระบบตรวจสอบคำขอเข้มงวดขึ้นเพื่อลดความเสี่ยง 🔗 https://www.techradar.com/pro/security/hackers-posing-as-law-enforcement-are-tricking-big-tech-to-get-access-to-private-data 🖥️ AMD เปิดตัว Radeon AI PRO R9700S การ์ดจอเงียบทรงพลังสำหรับงาน AI หนัก AMD กำลังสร้างความฮือฮาในวงการด้วยการ์ดจอรุ่นใหม่ Radeon AI PRO R9700S ที่มาพร้อมหน่วยความจำ 32GB GDDR6 และระบบระบายความร้อนแบบ passive cooling ทำให้สามารถทำงานได้อย่างเงียบในสภาพแวดล้อมที่มีการ์ดหลายตัวติดตั้งอยู่ใน rack แน่น ๆ จุดเด่นคือพลังการประมวลผลสูงถึง 47.8 TFLOPS และรองรับ PCIe 5.0 x16 เพื่อการสื่อสารข้อมูลที่รวดเร็ว เหมาะสำหรับงาน AI ขนาดใหญ่ เช่นการฝึกโมเดลภาษาหรือการประมวลผลเชิงสร้างสรรค์ จุดที่น่าสนใจคือแม้จะไม่มีพัดลม แต่ยังคงใช้พลังงานสูงถึง 300W ซึ่งองค์กรที่นำไปใช้ต้องวางแผนการจัดการความร้อนอย่างจริงจัง 🔗 https://www.techradar.com/pro/did-amd-just-launch-the-fastest-silent-video-cards-ever-passively-cooled-32gb-ddr6-radeon-ai-pro-r9700s-debuts-with-ginormous-300w-tdp 💻 Zotac เปิดตัว Mini PC เล็กแต่แรง บรรจุ RTX 5060 Ti เต็มตัว Zotac สร้างความประหลาดใจด้วยการเปิดตัว ZBOX MAGNUS EN275060TC ที่สามารถบรรจุการ์ดจอระดับ desktop อย่าง RTX 5060 Ti ขนาด 16GB ลงไปในเครื่องเล็กเพียง 2.65 ลิตรได้สำเร็จ โดยใช้เทคนิคการส่งพลังงานผ่าน PCIe แบบ hybrid ทำให้ไม่ต้องใช้สายต่อพลังงานภายนอก ผลการทดสอบชี้ว่าประสิทธิภาพใกล้เคียงกับ RTX 5070 Ti รุ่น laptop และยังคุ้มค่าด้านราคาเมื่อเทียบกับพลังที่ได้ แม้จะมีข้อกังวลเรื่องความร้อน แต่ถือเป็นการยกระดับ mini PC ให้สามารถแข่งขันกับเครื่องใหญ่ได้อย่างน่าทึ่ง 🔗 https://www.techradar.com/pro/this-zotac-mini-pc-has-the-most-powerful-gpu-ever-bundled-in-a-pc-of-this-size-16gb-geforce-rtx-5060-ti-is-competitive-with-5070-ti-laptop-edition ⚖️ อดีตพนักงาน Accenture ถูก DoJ ตั้งข้อหาฉ้อโกงด้านความปลอดภัยระบบคลาวด์ ข่าวใหญ่ในสายความปลอดภัยไซเบอร์ เมื่อกระทรวงยุติธรรมสหรัฐฯ ตั้งข้อหาอดีตผู้จัดการผลิตภัณฑ์ของ Accenture ที่ถูกกล่าวหาว่าให้ข้อมูลเท็จเกี่ยวกับมาตรการความปลอดภัยของระบบคลาวด์เพื่อให้ได้สัญญากับรัฐบาล ทั้งที่จริงแล้วแพลตฟอร์มไม่ได้ผ่านมาตรฐาน FedRAMP ตามที่กำหนด การกระทำนี้ถูกตีความว่าเป็นการหลอกลวงและมีการส่งเอกสารปลอมเพื่อรักษาสัญญา หากถูกตัดสินว่ามีความผิด เขาอาจต้องโทษจำคุกสูงสุดถึง 20 ปีในข้อหาฉ้อโกงและการขัดขวางการตรวจสอบ 🔗 https://www.techradar.com/pro/security/former-accenture-employee-charged-by-doj-for-cloud-security-fraud 🌐 สหรัฐฯ ยกเลิกการแบน Nvidia H200 หลัง Huawei Ascend 910C แรงจนท้าทายอำนาจโลก AI รัฐบาลสหรัฐฯ ตัดสินใจอนุญาตให้ส่งออกชิป Nvidia H200 ไปยังจีน โดยมีการเก็บค่าธรรมเนียม 25% ต่อการส่งออก หลังจากพบว่า Huawei กำลังพัฒนา Ascend 910C ที่มีประสิทธิภาพสูงมาก โดยระบบ CloudMatrix 384 ของ Huawei สามารถทำงานได้ถึง 300 petaflops และมีหน่วยความจำรวมมากกว่า Nvidia GB200 NVL72 แม้จะใช้พลังงานมากกว่า แต่ก็แสดงให้เห็นถึงศักยภาพที่อาจท้าทายความเป็นผู้นำของสหรัฐฯ การตัดสินใจครั้งนี้จึงถูกมองว่าเป็นการรักษาสมดุลระหว่างความมั่นคงและเศรษฐกิจ 🔗 https://www.techradar.com/pro/is-the-us-afraid-of-huawei-reports-hint-at-the-ascend-910c-accelerator-performance-to-justify-the-surprising-reversal-of-nvidias-h200-ai-gpu-ban-on-china 🤖 ChatGPT เตรียมเปิดโหมดผู้ใหญ่ แต่ไม่ใช่อย่างที่คิด OpenAI ประกาศว่าจะเปิดตัว “adult mode” สำหรับ ChatGPT ในปี 2026 โดยจะใช้ AI ตรวจจับอายุผู้ใช้จากพฤติกรรมการสนทนาเพื่อให้เข้าถึงเนื้อหาที่เป็นผู้ใหญ่ได้ จุดสำคัญคือไม่ได้หมายถึงการเปิดให้เข้าถึงเนื้อหาโจ่งแจ้งเพียงอย่างเดียว แต่ยังรวมถึงการพูดคุยในหัวข้อที่ปัจจุบันถูกจำกัด เช่นเรื่องความสัมพันธ์ สุขภาพจิต หรือประเด็นที่ไม่เหมาะสำหรับเด็ก การพัฒนานี้ถูกมองว่าเป็นการสร้างความยืดหยุ่นและตอบสนองผู้ใช้ที่ต้องการประสบการณ์ AI ที่สมจริงและเป็นผู้ใหญ่มากขึ้น แต่ก็ยังต้องรอการทดสอบระบบทำนายอายุให้แม่นยำก่อน 🔗 https://www.techradar.com/ai-platforms-assistants/chatgpt/chatgpts-adult-mode-is-coming-and-it-might-not-be-what-you-think-it-is 🧑‍💻 AI Chatbots ก้าวสู่ชีวิตประจำวัน รายงานล่าสุดจาก Microsoft เผยให้เห็นว่า Copilot และ AI chatbot ไม่ได้ถูกใช้แค่ในงานเท่านั้น แต่ยังกลายเป็นส่วนหนึ่งของชีวิตประจำวันของผู้คนแล้ว พวกเขาวิเคราะห์จากการสนทนากว่า 37.5 ล้านครั้ง พบว่าการใช้งานบนเดสก์ท็อปมักจะเกี่ยวข้องกับงานระหว่าง 8 โมงเช้าถึง 5 โมงเย็น ส่วนการใช้งานบนมือถือกลับเน้นเรื่องส่วนตัว เช่น สุขภาพและการใช้ชีวิต และเกิดขึ้นตลอดทั้งวัน นอกจากนี้ยังมีรูปแบบการใช้งานที่น่าสนใจ เช่น การเขียนโปรแกรมที่พุ่งสูงในวันทำงาน การเล่นเกมที่มากขึ้นในวันหยุด และคำถามเชิงปรัชญาที่มักจะเกิดขึ้นในช่วงกลางคืน สิ่งเหล่านี้สะท้อนว่า AI ไม่ได้เป็นเพียงเครื่องมือทำงาน แต่ยังถูกใช้เพื่อช่วยให้ผู้คนเข้าใจและจัดการกับชีวิตประจำวันของตนเองด้วย 🔗 https://www.techradar.com/pro/ai-chatbots-are-now-integrated-into-the-full-texture-of-human-life-microsoft-study-claims 🤖 ChatGPT 5.2 ถูกวิจารณ์ว่า “ถอยหลัง” OpenAI เปิดตัว ChatGPT 5.2 โดยประกาศว่าเป็นโมเดลที่ฉลาดที่สุดที่เปิดให้ใช้งานทั่วไป แต่เสียงตอบรับจากผู้ใช้กลับไม่ค่อยดีนัก หลายคนใน Reddit บอกว่ามัน “น่าเบื่อ” และ “เป็นทางการเกินไป” จนรู้สึกเหมือนหุ่นยนต์ที่ไม่มีชีวิตชีวา บางคนถึงกับบอกว่ามันแย่กว่าเวอร์ชัน 5.1 ที่ผ่านมา อย่างไรก็ตาม ยังเร็วเกินไปที่จะตัดสิน เพราะผู้ใช้ส่วนใหญ่ยังไม่ได้ลองใช้งานอย่างจริงจัง และอาจเป็นเพียงเสียงจากกลุ่มเล็กที่ไม่พอใจ การเปิดตัวครั้งนี้ยังสะท้อนการแข่งขันที่ดุเดือดระหว่าง OpenAI และ Google Gemini ซึ่งทำให้หลายคนสงสัยว่า OpenAI รีบปล่อยเวอร์ชันใหม่ออกมาเร็วเกินไปหรือไม่ 🔗 https://www.techradar.com/ai-platforms-assistants/openai/chatgpt-5-2-branded-a-step-backwards-by-disappointed-early-users-heres-why
    0 Comments 0 Shares 731 Views 0 Reviews
  • Huawei Kirin 9030: ก้าวใหม่ของจีน

    Huawei เปิดตัวชิป Kirin 9030 และ Kirin 9030 Pro สำหรับสมาร์ทโฟน Mate 80 โดยใช้กระบวนการผลิต SMIC N+3 ซึ่งเป็นการพัฒนาต่อจาก N+1 และ N+2 (7nm-class) จุดเด่นคือสามารถเพิ่มจำนวนคอร์ได้มากขึ้น (12–14 คอร์) โดยไม่เพิ่มการใช้พลังงานมากนัก ถือเป็นการยืนยันว่าจีนยังสามารถพัฒนากระบวนการผลิตชิปได้แม้ถูกคว่ำบาตร

    เทคโนโลยี N+3: ระหว่าง 7nm และ 5nm
    แม้ SMIC ระบุว่า N+3 เป็นก้าวสู่ 5nm แต่การวิเคราะห์จาก TechInsights และ SemiAnalysis ชี้ว่า N+3 เป็นเพียงการขยายจาก 7nm-class โดยใช้เทคนิค DUV multi-patterning และ Design Technology Co-Optimization (DTCO) ไม่ใช่การกระโดดไปสู่ 5nm จริง ๆ ทำให้ประสิทธิภาพและ yield ยังตามหลังคู่แข่งระดับโลก

    ความท้าทายด้าน yield และการผลิต
    การใช้ DUV multi-patterning หลายชั้นทำให้เกิดความเสี่ยงสูงต่อ line roughness และ defect ซึ่งอาจทำให้ yield ลดลงอย่างมากเมื่อผลิตในปริมาณมาก นี่คือข้อจำกัดสำคัญที่ทำให้ SMIC ยังไม่สามารถแข่งขันกับกระบวนการ EUV ของ TSMC และ Samsung ได้

    ผลกระทบต่ออุตสาหกรรมจีน
    แม้ N+3 ยังไม่ใช่ 5nm-class ที่แท้จริง แต่การพัฒนาแสดงให้เห็นว่า จีนยังคงสามารถก้าวหน้าในเทคโนโลยีการผลิตชิปโดยไม่พึ่งพา EUV จากต่างประเทศ ซึ่งเป็นสัญญาณเชิงบวกต่อความพยายามสร้างความเป็นอิสระด้านเซมิคอนดักเตอร์ อย่างไรก็ตาม การแข่งขันกับผู้ผลิตระดับโลกยังคงเป็นความท้าทายใหญ่

    สรุปประเด็นสำคัญ
    การเปิดตัวชิปใหม่
    Kirin 9030/9030 Pro ใช้กระบวนการ SMIC N+3
    เพิ่มจำนวนคอร์เป็น 12–14 คอร์

    เทคโนโลยี N+3
    เป็นการขยายจาก 7nm-class
    ใช้ DUV multi-patterning และ DTCO

    ความท้าทาย
    Yield ต่ำจากความซับซ้อนของ DUV
    ยังไม่เทียบเท่า 5nm-class ของ TSMC/Samsung

    ผลกระทบเชิงบวก
    แสดงให้เห็นความก้าวหน้าของจีนแม้ถูกคว่ำบาตร
    ลดการพึ่งพาเทคโนโลยี EUV ต่างประเทศ

    คำเตือนและข้อจำกัด
    N+3 ยังไม่ใช่ 5nm-class ที่แท้จริง
    Yield และประสิทธิภาพยังตามหลังคู่แข่งระดับโลก

    https://www.tomshardware.com/tech-industry/semiconductors/huaweis-latest-mobile-is-chinas-most-advanced-process-node-to-date-despite-using-blacklisted-chipmaker-huawei-kirin-9030-mobile-soc-made-on-smic-n-3-process-but-cant-compete-with-5nm-nodes
    📱 Huawei Kirin 9030: ก้าวใหม่ของจีน Huawei เปิดตัวชิป Kirin 9030 และ Kirin 9030 Pro สำหรับสมาร์ทโฟน Mate 80 โดยใช้กระบวนการผลิต SMIC N+3 ซึ่งเป็นการพัฒนาต่อจาก N+1 และ N+2 (7nm-class) จุดเด่นคือสามารถเพิ่มจำนวนคอร์ได้มากขึ้น (12–14 คอร์) โดยไม่เพิ่มการใช้พลังงานมากนัก ถือเป็นการยืนยันว่าจีนยังสามารถพัฒนากระบวนการผลิตชิปได้แม้ถูกคว่ำบาตร ⚙️ เทคโนโลยี N+3: ระหว่าง 7nm และ 5nm แม้ SMIC ระบุว่า N+3 เป็นก้าวสู่ 5nm แต่การวิเคราะห์จาก TechInsights และ SemiAnalysis ชี้ว่า N+3 เป็นเพียงการขยายจาก 7nm-class โดยใช้เทคนิค DUV multi-patterning และ Design Technology Co-Optimization (DTCO) ไม่ใช่การกระโดดไปสู่ 5nm จริง ๆ ทำให้ประสิทธิภาพและ yield ยังตามหลังคู่แข่งระดับโลก 🔬 ความท้าทายด้าน yield และการผลิต การใช้ DUV multi-patterning หลายชั้นทำให้เกิดความเสี่ยงสูงต่อ line roughness และ defect ซึ่งอาจทำให้ yield ลดลงอย่างมากเมื่อผลิตในปริมาณมาก นี่คือข้อจำกัดสำคัญที่ทำให้ SMIC ยังไม่สามารถแข่งขันกับกระบวนการ EUV ของ TSMC และ Samsung ได้ 🌐 ผลกระทบต่ออุตสาหกรรมจีน แม้ N+3 ยังไม่ใช่ 5nm-class ที่แท้จริง แต่การพัฒนาแสดงให้เห็นว่า จีนยังคงสามารถก้าวหน้าในเทคโนโลยีการผลิตชิปโดยไม่พึ่งพา EUV จากต่างประเทศ ซึ่งเป็นสัญญาณเชิงบวกต่อความพยายามสร้างความเป็นอิสระด้านเซมิคอนดักเตอร์ อย่างไรก็ตาม การแข่งขันกับผู้ผลิตระดับโลกยังคงเป็นความท้าทายใหญ่ 📌 สรุปประเด็นสำคัญ ✅ การเปิดตัวชิปใหม่ ➡️ Kirin 9030/9030 Pro ใช้กระบวนการ SMIC N+3 ➡️ เพิ่มจำนวนคอร์เป็น 12–14 คอร์ ✅ เทคโนโลยี N+3 ➡️ เป็นการขยายจาก 7nm-class ➡️ ใช้ DUV multi-patterning และ DTCO ✅ ความท้าทาย ➡️ Yield ต่ำจากความซับซ้อนของ DUV ➡️ ยังไม่เทียบเท่า 5nm-class ของ TSMC/Samsung ✅ ผลกระทบเชิงบวก ➡️ แสดงให้เห็นความก้าวหน้าของจีนแม้ถูกคว่ำบาตร ➡️ ลดการพึ่งพาเทคโนโลยี EUV ต่างประเทศ ‼️ คำเตือนและข้อจำกัด ⛔ N+3 ยังไม่ใช่ 5nm-class ที่แท้จริง ⛔ Yield และประสิทธิภาพยังตามหลังคู่แข่งระดับโลก https://www.tomshardware.com/tech-industry/semiconductors/huaweis-latest-mobile-is-chinas-most-advanced-process-node-to-date-despite-using-blacklisted-chipmaker-huawei-kirin-9030-mobile-soc-made-on-smic-n-3-process-but-cant-compete-with-5nm-nodes
    0 Comments 0 Shares 211 Views 0 Reviews
  • Intel ทดสอบเครื่องมือจาก ACM Research

    Intel กำลังประเมินเครื่องมือ wet etch จาก ACM Research สำหรับใช้ในกระบวนการผลิต 14A node ที่มีแผนเปิดตัวในปี 2027 เครื่องมือประเภทนี้ใช้สารเคมีในการกัดกร่อนวัสดุเพื่อสร้างลวดลายบนเวเฟอร์ ซึ่งเป็นขั้นตอนสำคัญในกระบวนการผลิตชิป แม้การทดสอบเครื่องมือจากหลายบริษัทเป็นเรื่องปกติ แต่กรณีนี้ถูกจับตามากเพราะ ACM มีฐานการดำเนินงานใหญ่ในจีน

    ความกังวลด้านความมั่นคง
    ACM Research มีบางหน่วยงานที่ถูกสหรัฐฯ ขึ้นบัญชีดำเนื่องจากความสัมพันธ์กับบริษัทจีน เช่น SMIC, CXMT และ YMTC การที่ Intel ทดสอบเครื่องมือจากบริษัทที่มีความเสี่ยงเช่นนี้ จึงถูกมองว่าอาจสร้างปัญหาด้านความมั่นคงและการเมือง โดยเฉพาะเมื่อสหรัฐฯ กำลังเข้มงวดต่อการส่งออกเทคโนโลยีไปยังจีน

    ความเชื่อมโยงกับ Lip-Bu Tan
    Lip-Bu Tan ซึ่งเพิ่งขึ้นเป็น CEO ของ Intel เคยลงทุนใน ACM Research ผ่านกองทุน Walden International และ Walden CEL Global Fund I แม้จะเป็นการลงทุนทางอ้อมและไม่ใช่การถือหุ้นใหญ่ แต่ก็ทำให้เกิดข้อสงสัยว่า Intel อาจมีผลประโยชน์ทับซ้อนหากตัดสินใจใช้เครื่องมือจาก ACM

    ผลกระทบต่ออุตสาหกรรม
    การทดสอบนี้สะท้อนถึงความท้าทายของ Intel ในการหาซัพพลายเออร์ที่เหมาะสมเพื่อเร่งการผลิตชิปขั้นสูง หาก Intel เลือกใช้เครื่องมือจาก ACM จริง อาจทำให้เกิดแรงกดดันจากรัฐบาลสหรัฐฯ และพันธมิตร แต่ในอีกด้านหนึ่งก็แสดงให้เห็นว่า จีนยังคงมีบทบาทสำคัญในห่วงโซ่อุปทานเซมิคอนดักเตอร์โลก

    สรุปประเด็นสำคัญ
    Intel ทดสอบเครื่องมือใหม่
    เครื่องมือ wet etch จาก ACM Research
    ใช้ในกระบวนการผลิต 14A node ปี 2027

    ความเชื่อมโยงกับผู้บริหาร
    Lip-Bu Tan เคยลงทุนใน ACM ผ่าน Walden International
    แม้ไม่ใช่การถือหุ้นใหญ่ แต่สร้างข้อสงสัยเรื่องผลประโยชน์

    ความเสี่ยงด้านความมั่นคง
    ACM มีหน่วยงานถูกคว่ำบาตรจากสหรัฐฯ
    ความสัมพันธ์กับบริษัทจีน เช่น SMIC และ YMTC

    ผลกระทบต่ออุตสาหกรรม
    Intel ต้องหาซัพพลายเออร์ที่เหมาะสมเพื่อเร่งผลิตชิปขั้นสูง
    จีนยังคงมีบทบาทสำคัญในห่วงโซ่อุปทานโลก

    คำเตือนและข้อจำกัด
    การใช้เครื่องมือจาก ACM อาจสร้างแรงกดดันทางการเมือง
    ความเสี่ยงต่อความมั่นคงหากมีการพึ่งพาบริษัทที่ถูกคว่ำบาตร

    https://www.tomshardware.com/tech-industry/semiconductors/intel-tests-chipmaking-tools-from-sanctioned-china-focused-tool-maker-report-claims-move-could-raise-political-and-national-security-concerns-firm-was-backed-by-ceo-lip-bu-tans-investment-firm
    🏭 Intel ทดสอบเครื่องมือจาก ACM Research Intel กำลังประเมินเครื่องมือ wet etch จาก ACM Research สำหรับใช้ในกระบวนการผลิต 14A node ที่มีแผนเปิดตัวในปี 2027 เครื่องมือประเภทนี้ใช้สารเคมีในการกัดกร่อนวัสดุเพื่อสร้างลวดลายบนเวเฟอร์ ซึ่งเป็นขั้นตอนสำคัญในกระบวนการผลิตชิป แม้การทดสอบเครื่องมือจากหลายบริษัทเป็นเรื่องปกติ แต่กรณีนี้ถูกจับตามากเพราะ ACM มีฐานการดำเนินงานใหญ่ในจีน ⚠️ ความกังวลด้านความมั่นคง ACM Research มีบางหน่วยงานที่ถูกสหรัฐฯ ขึ้นบัญชีดำเนื่องจากความสัมพันธ์กับบริษัทจีน เช่น SMIC, CXMT และ YMTC การที่ Intel ทดสอบเครื่องมือจากบริษัทที่มีความเสี่ยงเช่นนี้ จึงถูกมองว่าอาจสร้างปัญหาด้านความมั่นคงและการเมือง โดยเฉพาะเมื่อสหรัฐฯ กำลังเข้มงวดต่อการส่งออกเทคโนโลยีไปยังจีน 👔 ความเชื่อมโยงกับ Lip-Bu Tan Lip-Bu Tan ซึ่งเพิ่งขึ้นเป็น CEO ของ Intel เคยลงทุนใน ACM Research ผ่านกองทุน Walden International และ Walden CEL Global Fund I แม้จะเป็นการลงทุนทางอ้อมและไม่ใช่การถือหุ้นใหญ่ แต่ก็ทำให้เกิดข้อสงสัยว่า Intel อาจมีผลประโยชน์ทับซ้อนหากตัดสินใจใช้เครื่องมือจาก ACM 🌐 ผลกระทบต่ออุตสาหกรรม การทดสอบนี้สะท้อนถึงความท้าทายของ Intel ในการหาซัพพลายเออร์ที่เหมาะสมเพื่อเร่งการผลิตชิปขั้นสูง หาก Intel เลือกใช้เครื่องมือจาก ACM จริง อาจทำให้เกิดแรงกดดันจากรัฐบาลสหรัฐฯ และพันธมิตร แต่ในอีกด้านหนึ่งก็แสดงให้เห็นว่า จีนยังคงมีบทบาทสำคัญในห่วงโซ่อุปทานเซมิคอนดักเตอร์โลก 📌 สรุปประเด็นสำคัญ ✅ Intel ทดสอบเครื่องมือใหม่ ➡️ เครื่องมือ wet etch จาก ACM Research ➡️ ใช้ในกระบวนการผลิต 14A node ปี 2027 ✅ ความเชื่อมโยงกับผู้บริหาร ➡️ Lip-Bu Tan เคยลงทุนใน ACM ผ่าน Walden International ➡️ แม้ไม่ใช่การถือหุ้นใหญ่ แต่สร้างข้อสงสัยเรื่องผลประโยชน์ ✅ ความเสี่ยงด้านความมั่นคง ➡️ ACM มีหน่วยงานถูกคว่ำบาตรจากสหรัฐฯ ➡️ ความสัมพันธ์กับบริษัทจีน เช่น SMIC และ YMTC ✅ ผลกระทบต่ออุตสาหกรรม ➡️ Intel ต้องหาซัพพลายเออร์ที่เหมาะสมเพื่อเร่งผลิตชิปขั้นสูง ➡️ จีนยังคงมีบทบาทสำคัญในห่วงโซ่อุปทานโลก ‼️ คำเตือนและข้อจำกัด ⛔ การใช้เครื่องมือจาก ACM อาจสร้างแรงกดดันทางการเมือง ⛔ ความเสี่ยงต่อความมั่นคงหากมีการพึ่งพาบริษัทที่ถูกคว่ำบาตร https://www.tomshardware.com/tech-industry/semiconductors/intel-tests-chipmaking-tools-from-sanctioned-china-focused-tool-maker-report-claims-move-could-raise-political-and-national-security-concerns-firm-was-backed-by-ceo-lip-bu-tans-investment-firm
    0 Comments 0 Shares 232 Views 0 Reviews
  • จีนกำลังพิจารณาอัดฉีดเงินลงทุนสูงสุดถึง 70 พันล้านดอลลาร์ เพื่อสนับสนุนการผลิตชิปภายในประเทศ

    รัฐบาลจีนกำลังพิจารณาโครงการลงทุนใหม่ในอุตสาหกรรมชิปวงเงิน 28–70 พันล้านดอลลาร์ ซึ่งหากดำเนินการเต็มจำนวน จะมากกว่าการลงทุนโดยตรงของสหรัฐฯ ผ่าน CHIPS Act การลงทุนนี้มีเป้าหมายเพื่อเร่งการออกแบบและการผลิตชิปขั้นสูง ลดการพึ่งพาซัพพลายจากต่างประเทศ และแก้ปัญหาการขาดแคลนชิปที่กระทบเศรษฐกิจโลก

    ผู้เล่นหลัก: Huawei และ Cambricon
    สองบริษัทจีนที่ถูกมองว่าเป็นผู้รับเงินทุนหลักคือ Huawei และ Cambricon ซึ่งมีบทบาทสำคัญในตลาด AI และการประมวลผลขั้นสูง Huawei มีชิป Ascend สำหรับ AI inference ส่วน Cambricon กำลังเร่งการผลิต AI chip ให้ได้ถึง 500,000 ชิ้นภายในปี 2026 ทั้งสองบริษัทถูกวางตัวให้เป็นทางเลือกแทน Nvidia ในตลาดจีน

    กลยุทธ์ "Whole-Nation" ของจีน
    ประธานาธิบดีสี จิ้นผิงผลักดันแนวทาง “Whole-Nation Approach” เพื่อสร้างความเป็นอิสระด้านเทคโนโลยี โดยมีมาตรการบังคับใช้ชิปที่ผลิตในประเทศอย่างน้อย 50% ในศูนย์ข้อมูล และให้เงินอุดหนุนด้านพลังงานแก่บริษัทที่ใช้ชิปจีน แม้จะมีความพยายามบังคับใช้กับงานฝึก AI แต่หลายบริษัท เช่น DeepSeek ยังเลือกใช้ Nvidia เนื่องจากประสิทธิภาพเหนือกว่า

    ผลกระทบต่ออุตสาหกรรมโลก
    การลงทุนครั้งนี้อาจเปลี่ยนสมดุลของตลาดเซมิคอนดักเตอร์โลก หากจีนสามารถเร่งพัฒนาเทคโนโลยีได้ทันกับคู่แข่งตะวันตก อย่างไรก็ตาม ปัญหาด้าน yield, ความร้อน และการล้าหลังของ process node ยังคงเป็นอุปสรรคใหญ่ จีนจึงต้องใช้ทั้งการลงทุนและนโยบายเชิงรุกเพื่อปิดช่องว่างนี้

    สรุปประเด็นสำคัญ
    การลงทุนมหาศาล
    วงเงิน 28–70 พันล้านดอลลาร์
    ใหญ่กว่าการลงทุนตรงของสหรัฐฯ ผ่าน CHIPS Act

    ผู้เล่นหลัก
    Huawei: ชิป Ascend สำหรับ AI
    Cambricon: ตั้งเป้าผลิต 500,000 AI chip ภายในปี 2026

    กลยุทธ์ชาติ
    Whole-Nation Approach ผลักดันการใช้ชิปในประเทศ
    บังคับใช้ชิปจีนอย่างน้อย 50% ในศูนย์ข้อมูล

    ผลกระทบเชิงบวก
    ลดการพึ่งพาต่างชาติ
    เสริมความมั่นคงทางเศรษฐกิจและเทคโนโลยี

    ความเสี่ยงและข้อจำกัด
    ปัญหาด้าน yield และความร้อนของชิปจีน
    ประสิทธิภาพยังตามหลัง Nvidia และ AMD หลายรุ่น

    https://www.tomshardware.com/tech-industry/china-mulls-usd70-billion-domestic-chip-fabrication-injection-would-be-largest-of-any-government-semiconductor-investment-huawei-and-cambricon-among-candidates-in-push-to-compete-with-nvidia-other-u-s-firms
    💰 จีนกำลังพิจารณาอัดฉีดเงินลงทุนสูงสุดถึง 70 พันล้านดอลลาร์ เพื่อสนับสนุนการผลิตชิปภายในประเทศ รัฐบาลจีนกำลังพิจารณาโครงการลงทุนใหม่ในอุตสาหกรรมชิปวงเงิน 28–70 พันล้านดอลลาร์ ซึ่งหากดำเนินการเต็มจำนวน จะมากกว่าการลงทุนโดยตรงของสหรัฐฯ ผ่าน CHIPS Act การลงทุนนี้มีเป้าหมายเพื่อเร่งการออกแบบและการผลิตชิปขั้นสูง ลดการพึ่งพาซัพพลายจากต่างประเทศ และแก้ปัญหาการขาดแคลนชิปที่กระทบเศรษฐกิจโลก 🏢 ผู้เล่นหลัก: Huawei และ Cambricon สองบริษัทจีนที่ถูกมองว่าเป็นผู้รับเงินทุนหลักคือ Huawei และ Cambricon ซึ่งมีบทบาทสำคัญในตลาด AI และการประมวลผลขั้นสูง Huawei มีชิป Ascend สำหรับ AI inference ส่วน Cambricon กำลังเร่งการผลิต AI chip ให้ได้ถึง 500,000 ชิ้นภายในปี 2026 ทั้งสองบริษัทถูกวางตัวให้เป็นทางเลือกแทน Nvidia ในตลาดจีน ⚙️ กลยุทธ์ "Whole-Nation" ของจีน ประธานาธิบดีสี จิ้นผิงผลักดันแนวทาง “Whole-Nation Approach” เพื่อสร้างความเป็นอิสระด้านเทคโนโลยี โดยมีมาตรการบังคับใช้ชิปที่ผลิตในประเทศอย่างน้อย 50% ในศูนย์ข้อมูล และให้เงินอุดหนุนด้านพลังงานแก่บริษัทที่ใช้ชิปจีน แม้จะมีความพยายามบังคับใช้กับงานฝึก AI แต่หลายบริษัท เช่น DeepSeek ยังเลือกใช้ Nvidia เนื่องจากประสิทธิภาพเหนือกว่า 🌐 ผลกระทบต่ออุตสาหกรรมโลก การลงทุนครั้งนี้อาจเปลี่ยนสมดุลของตลาดเซมิคอนดักเตอร์โลก หากจีนสามารถเร่งพัฒนาเทคโนโลยีได้ทันกับคู่แข่งตะวันตก อย่างไรก็ตาม ปัญหาด้าน yield, ความร้อน และการล้าหลังของ process node ยังคงเป็นอุปสรรคใหญ่ จีนจึงต้องใช้ทั้งการลงทุนและนโยบายเชิงรุกเพื่อปิดช่องว่างนี้ 📌 สรุปประเด็นสำคัญ ✅ การลงทุนมหาศาล ➡️ วงเงิน 28–70 พันล้านดอลลาร์ ➡️ ใหญ่กว่าการลงทุนตรงของสหรัฐฯ ผ่าน CHIPS Act ✅ ผู้เล่นหลัก ➡️ Huawei: ชิป Ascend สำหรับ AI ➡️ Cambricon: ตั้งเป้าผลิต 500,000 AI chip ภายในปี 2026 ✅ กลยุทธ์ชาติ ➡️ Whole-Nation Approach ผลักดันการใช้ชิปในประเทศ ➡️ บังคับใช้ชิปจีนอย่างน้อย 50% ในศูนย์ข้อมูล ✅ ผลกระทบเชิงบวก ➡️ ลดการพึ่งพาต่างชาติ ➡️ เสริมความมั่นคงทางเศรษฐกิจและเทคโนโลยี ‼️ ความเสี่ยงและข้อจำกัด ⛔ ปัญหาด้าน yield และความร้อนของชิปจีน ⛔ ประสิทธิภาพยังตามหลัง Nvidia และ AMD หลายรุ่น https://www.tomshardware.com/tech-industry/china-mulls-usd70-billion-domestic-chip-fabrication-injection-would-be-largest-of-any-government-semiconductor-investment-huawei-and-cambricon-among-candidates-in-push-to-compete-with-nvidia-other-u-s-firms
    0 Comments 0 Shares 257 Views 0 Reviews
  • "SMIC ก้าวสู่ยุค 5 นาโนเมตรด้วย DUV"

    รายงานจาก TechInsights ยืนยันว่า Huawei Kirin 9030 SoC ถูกผลิตด้วยกระบวนการ N+3 ของ SMIC ซึ่งเป็นโหนด 5 นาโนเมตรที่ใช้เทคนิค deep ultraviolet lithography (DUV) แทน EUV ที่จีนไม่สามารถเข้าถึงได้เพราะข้อจำกัดการส่งออก เทคโนโลยีนี้ถือเป็นการพัฒนาเหนือกว่าโหนด N+2 (7 นาโนเมตร) ที่เคยใช้ในชิป AI และโครงสร้างพื้นฐานของ Huawei

    เทคนิคการผลิตและข้อจำกัด
    แม้ SMIC สามารถใช้ DUV แบบหลายรอบ เช่น self-aligned quadruple patterning (SAQP) เพื่อให้ได้ความละเอียดใกล้ 35 นาโนเมตรต่อการพิมพ์ แต่ ยังมีปัญหาด้าน yield ที่สูงมาก ทำให้ต้นทุนการผลิตสูงและบางส่วนต้องลดเกรดชิปลง การใช้ DUV แทน EUV จึงเป็นการบรรลุผลทางเทคนิค แต่ยังไม่สามารถแข่งขันด้านประสิทธิภาพและต้นทุนกับผู้ผลิตรายใหญ่ที่ใช้ EUV ได้

    ความหมายต่ออุตสาหกรรมเซมิคอนดักเตอร์จีน
    ความสำเร็จนี้สะท้อนว่า จีนสามารถเดินหน้าผลิตชิปขั้นสูงได้แม้ถูกจำกัดการเข้าถึงเทคโนโลยีตะวันตก ถือเป็นสัญญาณของการพึ่งพาตนเองในห่วงโซ่อุปทานเซมิคอนดักเตอร์ และอาจช่วยให้ Huawei และบริษัทจีนอื่น ๆ ลดการพึ่งพาต่างประเทศในด้าน AI และอุปกรณ์สื่อสาร

    ความท้าทายและอนาคต
    แม้เป็นความก้าวหน้าที่น่าทึ่ง แต่ผู้เชี่ยวชาญเตือนว่า การใช้ DUV ในระดับ 5 นาโนเมตรเป็นการลงทุนที่เสี่ยงทางเศรษฐกิจ เพราะ yield ต่ำและต้นทุนสูง หากไม่สามารถพัฒนาเครื่อง EUV ในประเทศได้ จีนอาจยังคงตามหลังผู้ผลิตรายใหญ่อย่าง TSMC และ Samsung ในเชิงประสิทธิภาพและความคุ้มค่า

    สรุปประเด็นสำคัญ
    SMIC ผลิตชิป 5 นาโนเมตร (N+3) โดยใช้ DUV
    Huawei Kirin 9030 SoC เป็นตัวอย่างที่ยืนยัน

    เทคนิค SAQP และการพิมพ์หลายรอบช่วยให้บรรลุความละเอียด
    ได้ใกล้เคียง 35 นาโนเมตรต่อการพิมพ์

    ความสำเร็จสะท้อนการพึ่งพาตนเองของจีนในอุตสาหกรรมชิป
    ลดการพึ่งพาต่างประเทศในเทคโนโลยีขั้นสูง

    Yield ต่ำทำให้ต้นทุนการผลิตสูง
    ชิปบางส่วนต้องลดเกรดลง

    การใช้ DUV แทน EUV เป็นข้อจำกัดเชิงเทคนิค
    จีนยังตามหลัง TSMC และ Samsung ในด้านประสิทธิภาพ

    https://www.techpowerup.com/344000/chinese-smic-achieves-5-nm-production-on-n-3-node-without-euv-tools
    🏭 "SMIC ก้าวสู่ยุค 5 นาโนเมตรด้วย DUV" รายงานจาก TechInsights ยืนยันว่า Huawei Kirin 9030 SoC ถูกผลิตด้วยกระบวนการ N+3 ของ SMIC ซึ่งเป็นโหนด 5 นาโนเมตรที่ใช้เทคนิค deep ultraviolet lithography (DUV) แทน EUV ที่จีนไม่สามารถเข้าถึงได้เพราะข้อจำกัดการส่งออก เทคโนโลยีนี้ถือเป็นการพัฒนาเหนือกว่าโหนด N+2 (7 นาโนเมตร) ที่เคยใช้ในชิป AI และโครงสร้างพื้นฐานของ Huawei ⚙️ เทคนิคการผลิตและข้อจำกัด แม้ SMIC สามารถใช้ DUV แบบหลายรอบ เช่น self-aligned quadruple patterning (SAQP) เพื่อให้ได้ความละเอียดใกล้ 35 นาโนเมตรต่อการพิมพ์ แต่ ยังมีปัญหาด้าน yield ที่สูงมาก ทำให้ต้นทุนการผลิตสูงและบางส่วนต้องลดเกรดชิปลง การใช้ DUV แทน EUV จึงเป็นการบรรลุผลทางเทคนิค แต่ยังไม่สามารถแข่งขันด้านประสิทธิภาพและต้นทุนกับผู้ผลิตรายใหญ่ที่ใช้ EUV ได้ 🌍 ความหมายต่ออุตสาหกรรมเซมิคอนดักเตอร์จีน ความสำเร็จนี้สะท้อนว่า จีนสามารถเดินหน้าผลิตชิปขั้นสูงได้แม้ถูกจำกัดการเข้าถึงเทคโนโลยีตะวันตก ถือเป็นสัญญาณของการพึ่งพาตนเองในห่วงโซ่อุปทานเซมิคอนดักเตอร์ และอาจช่วยให้ Huawei และบริษัทจีนอื่น ๆ ลดการพึ่งพาต่างประเทศในด้าน AI และอุปกรณ์สื่อสาร ⚠️ ความท้าทายและอนาคต แม้เป็นความก้าวหน้าที่น่าทึ่ง แต่ผู้เชี่ยวชาญเตือนว่า การใช้ DUV ในระดับ 5 นาโนเมตรเป็นการลงทุนที่เสี่ยงทางเศรษฐกิจ เพราะ yield ต่ำและต้นทุนสูง หากไม่สามารถพัฒนาเครื่อง EUV ในประเทศได้ จีนอาจยังคงตามหลังผู้ผลิตรายใหญ่อย่าง TSMC และ Samsung ในเชิงประสิทธิภาพและความคุ้มค่า 📌 สรุปประเด็นสำคัญ ✅ SMIC ผลิตชิป 5 นาโนเมตร (N+3) โดยใช้ DUV ➡️ Huawei Kirin 9030 SoC เป็นตัวอย่างที่ยืนยัน ✅ เทคนิค SAQP และการพิมพ์หลายรอบช่วยให้บรรลุความละเอียด ➡️ ได้ใกล้เคียง 35 นาโนเมตรต่อการพิมพ์ ✅ ความสำเร็จสะท้อนการพึ่งพาตนเองของจีนในอุตสาหกรรมชิป ➡️ ลดการพึ่งพาต่างประเทศในเทคโนโลยีขั้นสูง ‼️ Yield ต่ำทำให้ต้นทุนการผลิตสูง ⛔ ชิปบางส่วนต้องลดเกรดลง ‼️ การใช้ DUV แทน EUV เป็นข้อจำกัดเชิงเทคนิค ⛔ จีนยังตามหลัง TSMC และ Samsung ในด้านประสิทธิภาพ https://www.techpowerup.com/344000/chinese-smic-achieves-5-nm-production-on-n-3-node-without-euv-tools
    WWW.TECHPOWERUP.COM
    Chinese SMIC Achieves 5 nm Production on N+3 Node Without EUV Tools
    Chinese company SMIC has officially achieved volume production of its newest 5 nm-class node called SMIC N+3. This is officially China's most advanced semiconductor node produced without any extreme ultraviolet (EUV) lithography tools, relying on the deep ultraviolet (DUV) to manufacture its silicon...
    0 Comments 0 Shares 200 Views 0 Reviews
  • รวมข่าวจากเวบ TechRadar

    #รวมข่าวIT #20251212 #TechRadar

    Adobe เปิด Photoshop ฟรีใน ChatGPT
    ตอนนี้ใครๆ ก็สามารถใช้ Photoshop ได้โดยไม่ต้องมีทักษะด้านกราฟิกเลย เพราะ Adobe ได้รวมเอา Photoshop, Express และ Acrobat เข้าไปอยู่ใน ChatGPT ให้ใช้งานฟรีทั้งหมด เพียงพิมพ์สิ่งที่ต้องการด้วยภาษาธรรมชาติ ระบบก็จะช่วยแก้ไขภาพหรือสร้างเอกสารให้ทันที เช่น การปรับแสงสี ใส่เอฟเฟกต์ หรือแม้แต่รวมไฟล์ PDF หลายไฟล์เป็นหนึ่งเดียว จุดเด่นคือมีตัวเลือกปรับแต่งผ่านแถบควบคุม ทำให้ผู้ใช้สามารถแก้ไขได้ตามใจโดยไม่ต้องเปิดโปรแกรมเต็มรูปแบบ ถือเป็นก้าวสำคัญที่ทำให้ ChatGPT ไม่ใช่แค่เครื่องมือสร้างเนื้อหา แต่กลายเป็นพื้นที่ทำงานด้านการออกแบบและเอกสารครบวงจร
    https://www.techradar.com/ai-platforms-assistants/adobe-photoshop-is-now-built-into-chatgpt-for-free-and-you-dont-need-graphic-design-skills-to-use-it

    Google ออกแพตช์ฉุกเฉินแก้ช่องโหว่ Zero-Day บน Chrome
    Google ได้ปล่อยการอัปเดตเพื่อแก้ไขช่องโหว่ร้ายแรงบน Chrome ที่ถูกโจมตีจริงแล้วในโลกออนไลน์ นี่ถือเป็นครั้งที่ 8 ของปีที่บริษัทต้องแก้ Zero-Day โดยช่องโหว่นี้เกี่ยวข้องกับการจัดการหน่วยความจำใน LibANGLE ซึ่งอาจทำให้ผู้โจมตีสามารถเข้าควบคุมเครื่องจากระยะไกลได้ การอัปเดตได้ทยอยปล่อยให้ผู้ใช้แล้ว และ Google ยังคงไม่เปิดเผยรายละเอียดเชิงลึกเพื่อป้องกันไม่ให้แฮกเกอร์นำไปใช้ต่อ
    https://www.techradar.com/pro/security/google-releases-emergency-fix-for-yet-another-zero-day

    สายการบินรัสเซีย Aeroflot ถูกโจมตีผ่านบริษัทซอฟต์แวร์ภายนอก
    เหตุการณ์โจมตีไซเบอร์ที่ทำให้สายการบิน Aeroflot ต้องหยุดบินหลายสิบเที่ยวเมื่อเดือนกรกฎาคม ถูกเปิดเผยว่าเกิดจากการโจมตีแบบ Supply Chain ผ่านบริษัท Bakka Soft ที่พัฒนาแอปและระบบให้กับสายการบิน โดยผู้โจมตีใช้ช่องโหว่ที่ไม่มีการป้องกันด้วย 2FA และติดตั้งมัลแวร์จำนวนมากในระบบ ทำให้เที่ยวบินต้องหยุดชะงักและสร้างความเสียหายหลายล้านดอลลาร์ แม้ยังไม่มีการยืนยันอย่างเป็นทางการ แต่รายงานนี้สะท้อนถึงความเสี่ยงของการพึ่งพาผู้ให้บริการภายนอก
    https://www.techradar.com/pro/security/russian-airline-hack-came-through-third-party-tech-vendor

    สวิตเซอร์แลนด์ถอยร่างกฎหมายขยายการสอดส่อง หลังถูกต้านหนัก
    รัฐบาลสวิตเซอร์แลนด์ต้องกลับมาทบทวนร่างกฎหมายที่ต้องการขยายการสอดส่องจากผู้ให้บริการโทรคมนาคมไปยังแพลตฟอร์มอื่น เช่น แอปแชท โซเชียลมีเดีย และ VPN หลังจากถูกต่อต้านอย่างหนักจากบริษัทเทคโนโลยีและนักการเมืองท้องถิ่น ผู้ให้บริการอย่าง Proton และ Threema ชี้ว่ากฎหมายนี้จะกระทบต่อความเป็นส่วนตัวและการเข้ารหัสที่ปลอดภัย แม้การถอยครั้งนี้ถือเป็นชัยชนะชั่วคราว แต่ผู้เชี่ยวชาญเตือนว่ารัฐบาลยังคงมีความตั้งใจจะผลักดันการสอดส่องต่อไป
    https://www.techradar.com/vpn/vpn-privacy-security/switzerland-will-revise-proposed-law-change-after-backlash-from-tech-industry

    Disney จับมือ OpenAI เปิดทางให้ Sora ใช้ตัวละครดัง
    Disney สร้างความฮือฮาด้วยการเซ็นสัญญา 3 ปีร่วมกับ OpenAI เพื่อให้ Sora ซึ่งเป็นเครื่องมือสร้างวิดีโอด้วย AI สามารถใช้ตัวละครจาก Disney, Pixar, Marvel และ Star Wars ได้ พร้อมลงทุนกว่า 1 พันล้านดอลลาร์ใน OpenAI นี่ถือเป็นการเปลี่ยนท่าทีครั้งใหญ่ เพราะที่ผ่านมา Disney เข้มงวดมากกับการใช้ตัวละครของตน การร่วมมือครั้งนี้จะทำให้แฟนๆ สามารถสร้างคอนเทนต์ที่มี Mickey Mouse, Elsa หรือแม้แต่ตัวละครจาก Star Wars ปรากฏในผลงาน AI ได้ตั้งแต่ปี 2026 เป็นต้นไป
    https://www.techradar.com/ai-platforms-assistants/disney-and-openai-are-set-to-open-the-vault-to-sora-yet-an-ai-mickey-feels-like-magic-lost

    Nvidia พัฒนาซอฟต์แวร์ใหม่เพื่อติดตามชิปหลังพบการลักลอบ
    หลังจากมีการค้นพบการลักลอบขนส่งชิป Nvidia ได้ออกซอฟต์แวร์ใหม่ที่ช่วยติดตามเส้นทางการเคลื่อนไหวของชิป เพื่อให้สามารถตรวจสอบย้อนกลับได้ว่าชิปแต่ละตัวถูกส่งไปที่ใดบ้าง เทคโนโลยีนี้ถูกพัฒนาขึ้นเพื่อป้องกันการนำชิปไปใช้ในทางที่ผิด เช่น การนำไปใช้ในอุตสาหกรรมที่ถูกควบคุมหรือประเทศที่มีข้อจำกัดทางการค้า ถือเป็นการเสริมความปลอดภัยและความโปร่งใสในห่วงโซ่อุปทานของอุตสาหกรรมเซมิคอนดักเตอร์
    https://www.techradar.com/pro/nvidia-develops-new-software-to-help-track-chips-following-smuggling-discovery

    Mullvad ยุติการสนับสนุน OpenVPN บนเดสก์ท็อป หันไปใช้ WireGuard แทน
    บริการ VPN ชื่อดัง Mullvad ประกาศเลิกใช้ OpenVPN บนเดสก์ท็อปทั้งหมด และบังคับให้ผู้ใช้เปลี่ยนไปใช้ WireGuard ซึ่งมีความเร็วและความปลอดภัยสูงกว่า การตัดสินใจนี้เกิดขึ้นเพราะ WireGuard มีโครงสร้างที่ทันสมัยและง่ายต่อการบำรุงรักษา ทำให้ Mullvad สามารถให้บริการที่เสถียรและปลอดภัยมากขึ้น แม้ผู้ใช้บางรายอาจต้องปรับตัว แต่บริษัทเชื่อว่าการเปลี่ยนแปลงนี้จะเป็นผลดีในระยะยาว
    https://www.techradar.com/vpn/vpn-services/mullvad-retires-openvpn-support-on-desktop-pushing-all-users-to-wireguard

    ICO ปรับ LastPass 1.2 ล้านปอนด์ หลังข้อมูลผู้ใช้รั่วไหล
    สำนักงานคุ้มครองข้อมูลของสหราชอาณาจักร (ICO) ได้สั่งปรับ LastPass เป็นเงิน 1.2 ล้านปอนด์ จากเหตุการณ์ข้อมูลผู้ใช้กว่า 1.6 ล้านรายถูกละเมิดในปี 2022 โดยการโจมตีเกิดจากการที่แฮกเกอร์เข้าถึงข้อมูลสำรองของบริษัทผ่านบัญชีพนักงานที่ถูกเจาะ การปรับครั้งนี้สะท้อนถึงความเข้มงวดในการบังคับใช้กฎหมายคุ้มครองข้อมูล และเป็นการเตือนให้บริษัทด้านความปลอดภัยต้องรักษามาตรฐานสูงสุด
    https://www.techradar.com/pro/security/ico-levies-gbp1-2-million-fine-against-lastpass-data-breach-compromised-info-on-1-6-million-users

    โครงสร้างพื้นฐาน HPC และ AI กำลังบรรจบกัน
    บทความนี้พูดถึงการที่โครงสร้างพื้นฐานด้าน High Performance Computing (HPC) และ AI กำลังถูกพัฒนาให้ทำงานร่วมกันมากขึ้น เพื่อรองรับงานที่ต้องใช้การประมวลผลมหาศาล เช่น การวิจัยทางวิทยาศาสตร์และการวิเคราะห์ข้อมูลเชิงลึก แนวโน้มนี้ทำให้เกิดสถาปัตยกรรมใหม่ที่สามารถรองรับทั้งงาน HPC และ AI ได้ในระบบเดียว ซึ่งจะช่วยลดต้นทุนและเพิ่มประสิทธิภาพในการใช้งาน
    https://www.techradar.com/pro/hpc-and-ai-converging-infrastructures

    ค่าใช้จ่ายแฝงจากช่องว่างด้าน Cloud Sovereignty
    รายงานนี้ชี้ให้เห็นว่าการที่หลายองค์กรยังไม่สามารถควบคุมข้อมูลบนคลาวด์ได้อย่างสมบูรณ์ ก่อให้เกิดค่าใช้จ่ายแฝงจำนวนมาก ทั้งในด้านความเสี่ยงทางกฎหมาย ความปลอดภัย และการปฏิบัติตามข้อบังคับ การขาดความเป็นอิสระในการจัดการข้อมูลทำให้บริษัทต้องเสียค่าใช้จ่ายเพิ่มเติมเพื่อป้องกันหรือแก้ไขปัญหาที่เกิดขึ้น จึงเป็นการกระตุ้นให้หลายองค์กรหันมาให้ความสำคัญกับ Cloud Sovereignty มากขึ้น
    https://www.techradar.com/pro/quantifying-the-hidden-costs-of-cloud-sovereignty-gaps

    หุ้น Oracle ร่วงหลังเผยแผนลงทุน AI เพิ่มอีก 15 พันล้านดอลลาร์
    ราคาหุ้นของ Oracle ตกลงทันทีหลังบริษัทประกาศว่าจะเพิ่มงบลงทุนด้าน AI อีก 15 พันล้านดอลลาร์ นักลงทุนกังวลว่าการใช้จ่ายมหาศาลนี้อาจกระทบต่อผลกำไรระยะสั้น แม้บริษัทเชื่อว่าการลงทุนจะช่วยเสริมศักยภาพด้านคลาวด์และแข่งขันกับคู่แข่งรายใหญ่ได้ในอนาคต แต่ตลาดกลับตอบสนองด้วยความระมัดระวัง ทำให้หุ้นปรับตัวลงอย่างเห็นได้ชัด
    https://www.techradar.com/pro/oracle-shares-drop-after-usd15bn-higher-ai-spending-revealed

    แฮกเกอร์ใช้ฟีเจอร์ของ Mimecast แจกฟิชชิ่งลิงก์นับพัน
    มีการค้นพบว่าฟีเจอร์ Secure-Link ของ Mimecast ถูกนำไปใช้โดยแฮกเกอร์เพื่อส่งฟิชชิ่งอีเมลจำนวนมาก โดยฟีเจอร์ที่ควรจะช่วยป้องกันกลับถูกใช้เป็นช่องทางให้ผู้โจมตีสร้างลิงก์ที่ดูเหมือนปลอดภัย ทำให้ผู้ใช้หลงเชื่อและกรอกข้อมูลส่วนตัว การโจมตีนี้สะท้อนถึงความเสี่ยงที่แม้แต่เครื่องมือด้านความปลอดภัยก็อาจถูกนำไปใช้ในทางที่ผิดได้
    https://www.techradar.com/pro/security/hackers-distribute-thousands-of-phishing-attacks-through-mimecasts-secure-link-feature

    OpenAI ดึงตัว CEO ของ Slack มาเป็น Chief Revenue Officer
    OpenAI ประกาศแต่งตั้ง Lidiane Jones อดีต CEO ของ Slack เข้ามารับตำแหน่ง Chief Revenue Officer เพื่อเสริมความแข็งแกร่งด้านการสร้างรายได้และการขยายธุรกิจ การย้ายครั้งนี้ถือเป็นการเปลี่ยนแปลงครั้งสำคัญ เพราะ Jones มีประสบการณ์ในการบริหารแพลตฟอร์มที่มีผู้ใช้จำนวนมหาศาล และคาดว่าจะช่วยให้ OpenAI สามารถต่อยอดโมเดลธุรกิจได้อย่างมั่นคง
    https://www.techradar.com/pro/open-ai-poaches-slack-ceo-as-its-chief-revenue-officer

    ทดลองใช้ Photoshop ใน ChatGPT – ฟีเจอร์ฟรีที่ทรงพลัง
    ผู้เขียนบทความได้ลองใช้ Photoshop ที่ถูกรวมเข้ามาใน ChatGPT และพบว่ามันใช้งานง่ายมาก เพียงอัปโหลดภาพแล้วพิมพ์คำสั่ง เช่น “ทำให้ภาพเป็นขาวดำ” ระบบก็จะแสดงผลพร้อมแถบปรับความเข้มให้เลือกต่อทันที นอกจากนี้ยังสามารถใส่เอฟเฟกต์ต่างๆ เช่น Halftone หรือ Glitch ได้โดยไม่ต้องมีทักษะด้านกราฟิก ถือเป็นการยกระดับ ChatGPT ให้กลายเป็นเครื่องมือสร้างสรรค์ที่ใช้งานได้จริงในชีวิตประจำวัน
    https://www.techradar.com/ai-platforms-assistants/i-tried-photoshop-in-chatgpt-its-an-awesome-free-ai-superpower

    Google DeepMind จับมือรัฐบาลอังกฤษเพื่อวิจัยพลังงานสะอาดและวิทยาศาสตร์
    Google DeepMind ได้ลงนามความร่วมมือกับรัฐบาลสหราชอาณาจักรเพื่อพัฒนาโครงการด้านวิทยาศาสตร์และพลังงานสะอาด โดยตั้งเป้าที่จะใช้ AI ในการค้นหาวิธีแก้ปัญหาที่ซับซ้อน เช่น การผลิตพลังงานที่มีประสิทธิภาพและการวิจัยทางวิทยาศาสตร์เชิงลึก ความร่วมมือนี้สะท้อนถึงบทบาทของ AI ที่ไม่เพียงแต่ใช้ในธุรกิจ แต่ยังสามารถช่วยแก้ปัญหาสำคัญระดับโลกได้
    https://www.techradar.com/pro/google-deepmind-partners-with-the-uk-government-for-science-breakthroughs-cleaner-energy

    Opera Neon เปิดตัวพร้อมฟีเจอร์ AI Subscription
    Opera ได้เปิดตัว Opera Neon ซึ่งเป็นบริการ AI subscription ที่มาพร้อมฟีเจอร์หลากหลายสำหรับผู้ใช้ที่ต้องการความสามารถเพิ่มเติมจากเบราว์เซอร์ทั่วไป ผู้เขียนบทความมองว่าบริการนี้คุ้มค่าที่จะจ่าย เพราะมันรวมเครื่องมือ AI ที่ช่วยทั้งการทำงานและความบันเทิง เช่น การสร้างคอนเทนต์ การช่วยค้นหา และการปรับแต่งการใช้งานอินเทอร์เน็ตให้ตรงกับความต้องการของผู้ใช้ ถือเป็นการยกระดับเบราว์เซอร์ให้กลายเป็นแพลตฟอร์มที่มีมูลค่าเพิ่ม
    https://www.techradar.com/ai-platforms-assistants/opera-neon-is-now-available-and-i-think-its-an-ai-subscription-worth-paying-for

    มือถือ Android รุ่นใหม่สำหรับคนที่คิดถึง Xperia Play และ N-Gage
    มีการเปิดตัวสมาร์ทโฟน Android รุ่นใหม่ที่ออกแบบมาเพื่อคนที่ยังคิดถึงเครื่องเล่นเกมมือถือยุคเก่าอย่าง Xperia Play และ Nokia N-Gage โดยมือถือรุ่นนี้มาพร้อมปุ่มควบคุมเกมแบบจริงจัง ทำให้ผู้ใช้สามารถเล่นเกมได้อย่างสะดวกและสนุกเหมือนเครื่องเล่นเกมพกพาในอดีต ถือเป็นการผสมผสานระหว่างสมาร์ทโฟนสมัยใหม่กับความ怀旧ของเกมเมอร์ยุคก่อน
    https://www.techradar.com/phones/android/this-new-android-phone-is-designed-for-everyone-who-misses-the-xperia-play-and-n-gage

    Microsoft ปรับปรุง Windows 11 และ Phone Link ให้ดีขึ้น
    Microsoft ได้หยุดการอัปเดตที่ทำให้ Windows 11 มีปัญหาบ่อยครั้ง และหันมาเน้นการปรับปรุงฟีเจอร์ Phone Link ให้ใช้งานร่วมกับแอป Android ได้ดียิ่งขึ้น การเปลี่ยนแปลงนี้ช่วยให้ผู้ใช้สามารถเชื่อมต่อมือถือกับคอมพิวเตอร์ได้สะดวกขึ้น เช่น การใช้แอป Android บน PC โดยตรง ถือเป็นการพัฒนาเพื่อให้ Windows 11 มีเสถียรภาพและตอบโจทย์การใช้งานจริงมากขึ้น
    https://www.techradar.com/computing/windows/microsoft-stops-breaking-windows-11-instead-it-just-made-phone-link-even-better-with-android-apps

    NordVPN ผ่านการตรวจสอบความปลอดภัยจากผู้ตรวจสอบอิสระอีกครั้ง
    NordVPN ได้รับการตรวจสอบจากผู้ตรวจสอบอิสระเพื่อยืนยันความปลอดภัยของระบบ และผลการตรวจสอบก็ออกมาว่าบริการยังคงรักษามาตรฐานความปลอดภัยสูงสุดไว้ได้ การตรวจสอบนี้ช่วยสร้างความมั่นใจให้กับผู้ใช้ว่า VPN ที่พวกเขาใช้นั้นมีความน่าเชื่อถือและปลอดภัยจริง
    https://www.techradar.com/vpn/vpn-services/independent-auditors-inspect-nordvpns-security-once-again-heres-what-they-found

    Android เพิ่มฟีเจอร์ใหม่ช่วยชีวิต – แชร์วิดีโอสดกับทีมกู้ภัย
    Android ได้เปิดตัวฟีเจอร์ใหม่ที่ช่วยให้ผู้ใช้สามารถแชร์วิดีโอสดกับทีมกู้ภัยในกรณีฉุกเฉิน ฟีเจอร์นี้ออกแบบมาเพื่อเพิ่มโอกาสในการช่วยชีวิต โดยทีมกู้ภัยสามารถเห็นสถานการณ์จริงผ่านกล้องมือถือของผู้ประสบเหตุ ทำให้สามารถให้คำแนะนำหรือเข้าช่วยเหลือได้อย่างรวดเร็วและแม่นยำ ถือเป็นการใช้เทคโนโลยีเพื่อความปลอดภัยของผู้ใช้โดยตรง
    https://www.techradar.com/phones/android/android-just-got-a-life-saving-upgrade-that-lets-you-share-live-video-with-emergency-rescuers-heres-how-it-works

    ข้อมูลลูกค้า 16TB รั่วไหลจากบริษัทด้านการตลาด
    มีการค้นพบการรั่วไหลของข้อมูลขนาดใหญ่ถึง 16TB ซึ่งเป็นข้อมูลลูกค้าจำนวนมหาศาลจากบริษัทที่ทำธุรกิจด้านการสร้างฐานข้อมูลลูกค้า ข้อมูลที่รั่วไหลประกอบด้วยข้อมูลติดต่อและรายละเอียดเชิงธุรกิจที่อาจถูกนำไปใช้ในทางที่ผิด เหตุการณ์นี้ถือเป็นหนึ่งในกรณีข้อมูลรั่วไหลที่ใหญ่ที่สุด และเป็นการเตือนให้องค์กรต้องเข้มงวดกับการจัดการข้อมูลมากขึ้น
    https://www.techradar.com/pro/16tb-of-corporate-intelligence-data-exposed-in-one-of-the-largest-lead-generation-dataset-leaks
    📌📡🔵 รวมข่าวจากเวบ TechRadar 🔵📡📌 #รวมข่าวIT #20251212 #TechRadar 🖌️ Adobe เปิด Photoshop ฟรีใน ChatGPT ตอนนี้ใครๆ ก็สามารถใช้ Photoshop ได้โดยไม่ต้องมีทักษะด้านกราฟิกเลย เพราะ Adobe ได้รวมเอา Photoshop, Express และ Acrobat เข้าไปอยู่ใน ChatGPT ให้ใช้งานฟรีทั้งหมด เพียงพิมพ์สิ่งที่ต้องการด้วยภาษาธรรมชาติ ระบบก็จะช่วยแก้ไขภาพหรือสร้างเอกสารให้ทันที เช่น การปรับแสงสี ใส่เอฟเฟกต์ หรือแม้แต่รวมไฟล์ PDF หลายไฟล์เป็นหนึ่งเดียว จุดเด่นคือมีตัวเลือกปรับแต่งผ่านแถบควบคุม ทำให้ผู้ใช้สามารถแก้ไขได้ตามใจโดยไม่ต้องเปิดโปรแกรมเต็มรูปแบบ ถือเป็นก้าวสำคัญที่ทำให้ ChatGPT ไม่ใช่แค่เครื่องมือสร้างเนื้อหา แต่กลายเป็นพื้นที่ทำงานด้านการออกแบบและเอกสารครบวงจร 🔗 https://www.techradar.com/ai-platforms-assistants/adobe-photoshop-is-now-built-into-chatgpt-for-free-and-you-dont-need-graphic-design-skills-to-use-it 🛡️ Google ออกแพตช์ฉุกเฉินแก้ช่องโหว่ Zero-Day บน Chrome Google ได้ปล่อยการอัปเดตเพื่อแก้ไขช่องโหว่ร้ายแรงบน Chrome ที่ถูกโจมตีจริงแล้วในโลกออนไลน์ นี่ถือเป็นครั้งที่ 8 ของปีที่บริษัทต้องแก้ Zero-Day โดยช่องโหว่นี้เกี่ยวข้องกับการจัดการหน่วยความจำใน LibANGLE ซึ่งอาจทำให้ผู้โจมตีสามารถเข้าควบคุมเครื่องจากระยะไกลได้ การอัปเดตได้ทยอยปล่อยให้ผู้ใช้แล้ว และ Google ยังคงไม่เปิดเผยรายละเอียดเชิงลึกเพื่อป้องกันไม่ให้แฮกเกอร์นำไปใช้ต่อ 🔗 https://www.techradar.com/pro/security/google-releases-emergency-fix-for-yet-another-zero-day ✈️ สายการบินรัสเซีย Aeroflot ถูกโจมตีผ่านบริษัทซอฟต์แวร์ภายนอก เหตุการณ์โจมตีไซเบอร์ที่ทำให้สายการบิน Aeroflot ต้องหยุดบินหลายสิบเที่ยวเมื่อเดือนกรกฎาคม ถูกเปิดเผยว่าเกิดจากการโจมตีแบบ Supply Chain ผ่านบริษัท Bakka Soft ที่พัฒนาแอปและระบบให้กับสายการบิน โดยผู้โจมตีใช้ช่องโหว่ที่ไม่มีการป้องกันด้วย 2FA และติดตั้งมัลแวร์จำนวนมากในระบบ ทำให้เที่ยวบินต้องหยุดชะงักและสร้างความเสียหายหลายล้านดอลลาร์ แม้ยังไม่มีการยืนยันอย่างเป็นทางการ แต่รายงานนี้สะท้อนถึงความเสี่ยงของการพึ่งพาผู้ให้บริการภายนอก 🔗 https://www.techradar.com/pro/security/russian-airline-hack-came-through-third-party-tech-vendor 🇨🇭 สวิตเซอร์แลนด์ถอยร่างกฎหมายขยายการสอดส่อง หลังถูกต้านหนัก รัฐบาลสวิตเซอร์แลนด์ต้องกลับมาทบทวนร่างกฎหมายที่ต้องการขยายการสอดส่องจากผู้ให้บริการโทรคมนาคมไปยังแพลตฟอร์มอื่น เช่น แอปแชท โซเชียลมีเดีย และ VPN หลังจากถูกต่อต้านอย่างหนักจากบริษัทเทคโนโลยีและนักการเมืองท้องถิ่น ผู้ให้บริการอย่าง Proton และ Threema ชี้ว่ากฎหมายนี้จะกระทบต่อความเป็นส่วนตัวและการเข้ารหัสที่ปลอดภัย แม้การถอยครั้งนี้ถือเป็นชัยชนะชั่วคราว แต่ผู้เชี่ยวชาญเตือนว่ารัฐบาลยังคงมีความตั้งใจจะผลักดันการสอดส่องต่อไป 🔗 https://www.techradar.com/vpn/vpn-privacy-security/switzerland-will-revise-proposed-law-change-after-backlash-from-tech-industry 🎬 Disney จับมือ OpenAI เปิดทางให้ Sora ใช้ตัวละครดัง Disney สร้างความฮือฮาด้วยการเซ็นสัญญา 3 ปีร่วมกับ OpenAI เพื่อให้ Sora ซึ่งเป็นเครื่องมือสร้างวิดีโอด้วย AI สามารถใช้ตัวละครจาก Disney, Pixar, Marvel และ Star Wars ได้ พร้อมลงทุนกว่า 1 พันล้านดอลลาร์ใน OpenAI นี่ถือเป็นการเปลี่ยนท่าทีครั้งใหญ่ เพราะที่ผ่านมา Disney เข้มงวดมากกับการใช้ตัวละครของตน การร่วมมือครั้งนี้จะทำให้แฟนๆ สามารถสร้างคอนเทนต์ที่มี Mickey Mouse, Elsa หรือแม้แต่ตัวละครจาก Star Wars ปรากฏในผลงาน AI ได้ตั้งแต่ปี 2026 เป็นต้นไป 🔗 https://www.techradar.com/ai-platforms-assistants/disney-and-openai-are-set-to-open-the-vault-to-sora-yet-an-ai-mickey-feels-like-magic-lost 💻 Nvidia พัฒนาซอฟต์แวร์ใหม่เพื่อติดตามชิปหลังพบการลักลอบ หลังจากมีการค้นพบการลักลอบขนส่งชิป Nvidia ได้ออกซอฟต์แวร์ใหม่ที่ช่วยติดตามเส้นทางการเคลื่อนไหวของชิป เพื่อให้สามารถตรวจสอบย้อนกลับได้ว่าชิปแต่ละตัวถูกส่งไปที่ใดบ้าง เทคโนโลยีนี้ถูกพัฒนาขึ้นเพื่อป้องกันการนำชิปไปใช้ในทางที่ผิด เช่น การนำไปใช้ในอุตสาหกรรมที่ถูกควบคุมหรือประเทศที่มีข้อจำกัดทางการค้า ถือเป็นการเสริมความปลอดภัยและความโปร่งใสในห่วงโซ่อุปทานของอุตสาหกรรมเซมิคอนดักเตอร์ 🔗 https://www.techradar.com/pro/nvidia-develops-new-software-to-help-track-chips-following-smuggling-discovery 🔒 Mullvad ยุติการสนับสนุน OpenVPN บนเดสก์ท็อป หันไปใช้ WireGuard แทน บริการ VPN ชื่อดัง Mullvad ประกาศเลิกใช้ OpenVPN บนเดสก์ท็อปทั้งหมด และบังคับให้ผู้ใช้เปลี่ยนไปใช้ WireGuard ซึ่งมีความเร็วและความปลอดภัยสูงกว่า การตัดสินใจนี้เกิดขึ้นเพราะ WireGuard มีโครงสร้างที่ทันสมัยและง่ายต่อการบำรุงรักษา ทำให้ Mullvad สามารถให้บริการที่เสถียรและปลอดภัยมากขึ้น แม้ผู้ใช้บางรายอาจต้องปรับตัว แต่บริษัทเชื่อว่าการเปลี่ยนแปลงนี้จะเป็นผลดีในระยะยาว 🔗 https://www.techradar.com/vpn/vpn-services/mullvad-retires-openvpn-support-on-desktop-pushing-all-users-to-wireguard 💰 ICO ปรับ LastPass 1.2 ล้านปอนด์ หลังข้อมูลผู้ใช้รั่วไหล สำนักงานคุ้มครองข้อมูลของสหราชอาณาจักร (ICO) ได้สั่งปรับ LastPass เป็นเงิน 1.2 ล้านปอนด์ จากเหตุการณ์ข้อมูลผู้ใช้กว่า 1.6 ล้านรายถูกละเมิดในปี 2022 โดยการโจมตีเกิดจากการที่แฮกเกอร์เข้าถึงข้อมูลสำรองของบริษัทผ่านบัญชีพนักงานที่ถูกเจาะ การปรับครั้งนี้สะท้อนถึงความเข้มงวดในการบังคับใช้กฎหมายคุ้มครองข้อมูล และเป็นการเตือนให้บริษัทด้านความปลอดภัยต้องรักษามาตรฐานสูงสุด 🔗 https://www.techradar.com/pro/security/ico-levies-gbp1-2-million-fine-against-lastpass-data-breach-compromised-info-on-1-6-million-users ⚙️ โครงสร้างพื้นฐาน HPC และ AI กำลังบรรจบกัน บทความนี้พูดถึงการที่โครงสร้างพื้นฐานด้าน High Performance Computing (HPC) และ AI กำลังถูกพัฒนาให้ทำงานร่วมกันมากขึ้น เพื่อรองรับงานที่ต้องใช้การประมวลผลมหาศาล เช่น การวิจัยทางวิทยาศาสตร์และการวิเคราะห์ข้อมูลเชิงลึก แนวโน้มนี้ทำให้เกิดสถาปัตยกรรมใหม่ที่สามารถรองรับทั้งงาน HPC และ AI ได้ในระบบเดียว ซึ่งจะช่วยลดต้นทุนและเพิ่มประสิทธิภาพในการใช้งาน 🔗 https://www.techradar.com/pro/hpc-and-ai-converging-infrastructures ☁️ ค่าใช้จ่ายแฝงจากช่องว่างด้าน Cloud Sovereignty รายงานนี้ชี้ให้เห็นว่าการที่หลายองค์กรยังไม่สามารถควบคุมข้อมูลบนคลาวด์ได้อย่างสมบูรณ์ ก่อให้เกิดค่าใช้จ่ายแฝงจำนวนมาก ทั้งในด้านความเสี่ยงทางกฎหมาย ความปลอดภัย และการปฏิบัติตามข้อบังคับ การขาดความเป็นอิสระในการจัดการข้อมูลทำให้บริษัทต้องเสียค่าใช้จ่ายเพิ่มเติมเพื่อป้องกันหรือแก้ไขปัญหาที่เกิดขึ้น จึงเป็นการกระตุ้นให้หลายองค์กรหันมาให้ความสำคัญกับ Cloud Sovereignty มากขึ้น 🔗 https://www.techradar.com/pro/quantifying-the-hidden-costs-of-cloud-sovereignty-gaps 📉 หุ้น Oracle ร่วงหลังเผยแผนลงทุน AI เพิ่มอีก 15 พันล้านดอลลาร์ ราคาหุ้นของ Oracle ตกลงทันทีหลังบริษัทประกาศว่าจะเพิ่มงบลงทุนด้าน AI อีก 15 พันล้านดอลลาร์ นักลงทุนกังวลว่าการใช้จ่ายมหาศาลนี้อาจกระทบต่อผลกำไรระยะสั้น แม้บริษัทเชื่อว่าการลงทุนจะช่วยเสริมศักยภาพด้านคลาวด์และแข่งขันกับคู่แข่งรายใหญ่ได้ในอนาคต แต่ตลาดกลับตอบสนองด้วยความระมัดระวัง ทำให้หุ้นปรับตัวลงอย่างเห็นได้ชัด 🔗 https://www.techradar.com/pro/oracle-shares-drop-after-usd15bn-higher-ai-spending-revealed 🎣 แฮกเกอร์ใช้ฟีเจอร์ของ Mimecast แจกฟิชชิ่งลิงก์นับพัน มีการค้นพบว่าฟีเจอร์ Secure-Link ของ Mimecast ถูกนำไปใช้โดยแฮกเกอร์เพื่อส่งฟิชชิ่งอีเมลจำนวนมาก โดยฟีเจอร์ที่ควรจะช่วยป้องกันกลับถูกใช้เป็นช่องทางให้ผู้โจมตีสร้างลิงก์ที่ดูเหมือนปลอดภัย ทำให้ผู้ใช้หลงเชื่อและกรอกข้อมูลส่วนตัว การโจมตีนี้สะท้อนถึงความเสี่ยงที่แม้แต่เครื่องมือด้านความปลอดภัยก็อาจถูกนำไปใช้ในทางที่ผิดได้ 🔗 https://www.techradar.com/pro/security/hackers-distribute-thousands-of-phishing-attacks-through-mimecasts-secure-link-feature 👔 OpenAI ดึงตัว CEO ของ Slack มาเป็น Chief Revenue Officer OpenAI ประกาศแต่งตั้ง Lidiane Jones อดีต CEO ของ Slack เข้ามารับตำแหน่ง Chief Revenue Officer เพื่อเสริมความแข็งแกร่งด้านการสร้างรายได้และการขยายธุรกิจ การย้ายครั้งนี้ถือเป็นการเปลี่ยนแปลงครั้งสำคัญ เพราะ Jones มีประสบการณ์ในการบริหารแพลตฟอร์มที่มีผู้ใช้จำนวนมหาศาล และคาดว่าจะช่วยให้ OpenAI สามารถต่อยอดโมเดลธุรกิจได้อย่างมั่นคง 🔗 https://www.techradar.com/pro/open-ai-poaches-slack-ceo-as-its-chief-revenue-officer 🖼️ ทดลองใช้ Photoshop ใน ChatGPT – ฟีเจอร์ฟรีที่ทรงพลัง ผู้เขียนบทความได้ลองใช้ Photoshop ที่ถูกรวมเข้ามาใน ChatGPT และพบว่ามันใช้งานง่ายมาก เพียงอัปโหลดภาพแล้วพิมพ์คำสั่ง เช่น “ทำให้ภาพเป็นขาวดำ” ระบบก็จะแสดงผลพร้อมแถบปรับความเข้มให้เลือกต่อทันที นอกจากนี้ยังสามารถใส่เอฟเฟกต์ต่างๆ เช่น Halftone หรือ Glitch ได้โดยไม่ต้องมีทักษะด้านกราฟิก ถือเป็นการยกระดับ ChatGPT ให้กลายเป็นเครื่องมือสร้างสรรค์ที่ใช้งานได้จริงในชีวิตประจำวัน 🔗 https://www.techradar.com/ai-platforms-assistants/i-tried-photoshop-in-chatgpt-its-an-awesome-free-ai-superpower ⚡ Google DeepMind จับมือรัฐบาลอังกฤษเพื่อวิจัยพลังงานสะอาดและวิทยาศาสตร์ Google DeepMind ได้ลงนามความร่วมมือกับรัฐบาลสหราชอาณาจักรเพื่อพัฒนาโครงการด้านวิทยาศาสตร์และพลังงานสะอาด โดยตั้งเป้าที่จะใช้ AI ในการค้นหาวิธีแก้ปัญหาที่ซับซ้อน เช่น การผลิตพลังงานที่มีประสิทธิภาพและการวิจัยทางวิทยาศาสตร์เชิงลึก ความร่วมมือนี้สะท้อนถึงบทบาทของ AI ที่ไม่เพียงแต่ใช้ในธุรกิจ แต่ยังสามารถช่วยแก้ปัญหาสำคัญระดับโลกได้ 🔗 https://www.techradar.com/pro/google-deepmind-partners-with-the-uk-government-for-science-breakthroughs-cleaner-energy 🎨 Opera Neon เปิดตัวพร้อมฟีเจอร์ AI Subscription Opera ได้เปิดตัว Opera Neon ซึ่งเป็นบริการ AI subscription ที่มาพร้อมฟีเจอร์หลากหลายสำหรับผู้ใช้ที่ต้องการความสามารถเพิ่มเติมจากเบราว์เซอร์ทั่วไป ผู้เขียนบทความมองว่าบริการนี้คุ้มค่าที่จะจ่าย เพราะมันรวมเครื่องมือ AI ที่ช่วยทั้งการทำงานและความบันเทิง เช่น การสร้างคอนเทนต์ การช่วยค้นหา และการปรับแต่งการใช้งานอินเทอร์เน็ตให้ตรงกับความต้องการของผู้ใช้ ถือเป็นการยกระดับเบราว์เซอร์ให้กลายเป็นแพลตฟอร์มที่มีมูลค่าเพิ่ม 🔗 https://www.techradar.com/ai-platforms-assistants/opera-neon-is-now-available-and-i-think-its-an-ai-subscription-worth-paying-for 📱 มือถือ Android รุ่นใหม่สำหรับคนที่คิดถึง Xperia Play และ N-Gage มีการเปิดตัวสมาร์ทโฟน Android รุ่นใหม่ที่ออกแบบมาเพื่อคนที่ยังคิดถึงเครื่องเล่นเกมมือถือยุคเก่าอย่าง Xperia Play และ Nokia N-Gage โดยมือถือรุ่นนี้มาพร้อมปุ่มควบคุมเกมแบบจริงจัง ทำให้ผู้ใช้สามารถเล่นเกมได้อย่างสะดวกและสนุกเหมือนเครื่องเล่นเกมพกพาในอดีต ถือเป็นการผสมผสานระหว่างสมาร์ทโฟนสมัยใหม่กับความ怀旧ของเกมเมอร์ยุคก่อน 🔗 https://www.techradar.com/phones/android/this-new-android-phone-is-designed-for-everyone-who-misses-the-xperia-play-and-n-gage 🔗 Microsoft ปรับปรุง Windows 11 และ Phone Link ให้ดีขึ้น Microsoft ได้หยุดการอัปเดตที่ทำให้ Windows 11 มีปัญหาบ่อยครั้ง และหันมาเน้นการปรับปรุงฟีเจอร์ Phone Link ให้ใช้งานร่วมกับแอป Android ได้ดียิ่งขึ้น การเปลี่ยนแปลงนี้ช่วยให้ผู้ใช้สามารถเชื่อมต่อมือถือกับคอมพิวเตอร์ได้สะดวกขึ้น เช่น การใช้แอป Android บน PC โดยตรง ถือเป็นการพัฒนาเพื่อให้ Windows 11 มีเสถียรภาพและตอบโจทย์การใช้งานจริงมากขึ้น 🔗 https://www.techradar.com/computing/windows/microsoft-stops-breaking-windows-11-instead-it-just-made-phone-link-even-better-with-android-apps 🔍 NordVPN ผ่านการตรวจสอบความปลอดภัยจากผู้ตรวจสอบอิสระอีกครั้ง NordVPN ได้รับการตรวจสอบจากผู้ตรวจสอบอิสระเพื่อยืนยันความปลอดภัยของระบบ และผลการตรวจสอบก็ออกมาว่าบริการยังคงรักษามาตรฐานความปลอดภัยสูงสุดไว้ได้ การตรวจสอบนี้ช่วยสร้างความมั่นใจให้กับผู้ใช้ว่า VPN ที่พวกเขาใช้นั้นมีความน่าเชื่อถือและปลอดภัยจริง 🔗 https://www.techradar.com/vpn/vpn-services/independent-auditors-inspect-nordvpns-security-once-again-heres-what-they-found 🚨 Android เพิ่มฟีเจอร์ใหม่ช่วยชีวิต – แชร์วิดีโอสดกับทีมกู้ภัย Android ได้เปิดตัวฟีเจอร์ใหม่ที่ช่วยให้ผู้ใช้สามารถแชร์วิดีโอสดกับทีมกู้ภัยในกรณีฉุกเฉิน ฟีเจอร์นี้ออกแบบมาเพื่อเพิ่มโอกาสในการช่วยชีวิต โดยทีมกู้ภัยสามารถเห็นสถานการณ์จริงผ่านกล้องมือถือของผู้ประสบเหตุ ทำให้สามารถให้คำแนะนำหรือเข้าช่วยเหลือได้อย่างรวดเร็วและแม่นยำ ถือเป็นการใช้เทคโนโลยีเพื่อความปลอดภัยของผู้ใช้โดยตรง 🔗 https://www.techradar.com/phones/android/android-just-got-a-life-saving-upgrade-that-lets-you-share-live-video-with-emergency-rescuers-heres-how-it-works 📂 ข้อมูลลูกค้า 16TB รั่วไหลจากบริษัทด้านการตลาด มีการค้นพบการรั่วไหลของข้อมูลขนาดใหญ่ถึง 16TB ซึ่งเป็นข้อมูลลูกค้าจำนวนมหาศาลจากบริษัทที่ทำธุรกิจด้านการสร้างฐานข้อมูลลูกค้า ข้อมูลที่รั่วไหลประกอบด้วยข้อมูลติดต่อและรายละเอียดเชิงธุรกิจที่อาจถูกนำไปใช้ในทางที่ผิด เหตุการณ์นี้ถือเป็นหนึ่งในกรณีข้อมูลรั่วไหลที่ใหญ่ที่สุด และเป็นการเตือนให้องค์กรต้องเข้มงวดกับการจัดการข้อมูลมากขึ้น 🔗 https://www.techradar.com/pro/16tb-of-corporate-intelligence-data-exposed-in-one-of-the-largest-lead-generation-dataset-leaks
    0 Comments 0 Shares 560 Views 0 Reviews
  • จีนกดดันเนเธอร์แลนด์ให้แก้ปัญหาความขัดแย้ง Nexperia

    รัฐบาลจีนเรียกร้องให้เนเธอร์แลนด์เร่งแก้ไขข้อพิพาทเกี่ยวกับบริษัท Nexperia ผู้ผลิตเซมิคอนดักเตอร์ของดัตช์ที่ถูกควบคุมโดย Wingtech Technology ของจีน หลังจากรัฐบาลเนเธอร์แลนด์เข้ามาแทรกแซงเพื่อป้องกันการถ่ายโอนเทคโนโลยี ทำให้เกิดการหยุดชะงักของห่วงโซ่อุปทานและการส่งออกชิ้นส่วนสำคัญ

    การแทรกแซงของรัฐและผลกระทบ
    ในเดือนกันยายน รัฐบาลเนเธอร์แลนด์ใช้กฎหมายยุคสงครามเย็นเพื่อวาง Nexperia ภายใต้การกำกับดูแลของรัฐ พร้อมระงับสิทธิ์การโหวตของผู้บริหารที่มาจาก Wingtech และแต่งตั้งผู้ดูแลอิสระแทน จีนตอบโต้ด้วยการหยุดการส่งออกชิ้นส่วนที่บรรจุในจีนกลับไปยุโรป ส่งผลให้การผลิตรถยนต์และอุตสาหกรรมอิเล็กทรอนิกส์ในยุโรปสะดุดทันที

    ความเสี่ยงต่อห่วงโซ่อุปทานโลก
    กว่า 70% ของเวเฟอร์ที่ผลิตในยุโรปโดย Nexperia ต้องถูกส่งไปจีนเพื่อบรรจุและประกอบ เมื่อจีนหยุดส่งออก ทำให้เกิดการค้างสต็อกและใบแจ้งหนี้ที่ไม่ได้รับชำระจำนวนมาก ปัจจุบันทั้งสองฝ่ายผ่อนคลายข้อจำกัดบางส่วน แต่ยังไม่สามารถแก้ไขปัญหาการควบคุมบริษัทได้อย่างถาวร

    บริบทเพิ่มเติมจากอุตสาหกรรมเซมิคอนดักเตอร์
    กรณีนี้สะท้อนถึงความตึงเครียดด้าน ภูมิรัฐศาสตร์และเทคโนโลยี ที่เพิ่มขึ้นระหว่างจีนและยุโรป โดยเฉพาะในอุตสาหกรรมเซมิคอนดักเตอร์ที่เป็นหัวใจสำคัญของเศรษฐกิจโลก ขณะเดียวกันบริษัทญี่ปุ่นและเกาหลีใต้กำลังเร่งลงทุนเพื่อสร้างโรงงานใหม่ในประเทศตนเอง เพื่อลดการพึ่งพาห่วงโซ่อุปทานที่เสี่ยงต่อการเมือง

    สรุปสาระสำคัญ
    จีนเรียกร้องให้เนเธอร์แลนด์แก้ปัญหา Nexperia
    หลังการแทรกแซงของรัฐทำให้ห่วงโซ่อุปทานสะดุด

    รัฐบาลเนเธอร์แลนด์ใช้กฎหมายยุคสงครามเย็น
    ระงับสิทธิ์ผู้บริหารจาก Wingtech และแต่งตั้งผู้ดูแลอิสระ

    จีนตอบโต้ด้วยการหยุดส่งออกชิ้นส่วน
    กระทบการผลิตรถยนต์และอุตสาหกรรมอิเล็กทรอนิกส์ในยุโรป

    ปัจจุบันผ่อนคลายข้อจำกัดบางส่วน
    แต่ยังไม่แก้ปัญหาการควบคุมบริษัทได้ถาวร

    ความเสี่ยงต่อห่วงโซ่อุปทานโลก
    70% ของเวเฟอร์ยุโรปต้องส่งไปจีนเพื่อบรรจุและประกอบ

    ความตึงเครียดด้านภูมิรัฐศาสตร์
    อุตสาหกรรมเซมิคอนดักเตอร์ถูกใช้เป็นเครื่องมือทางการเมือง

    https://www.tomshardware.com/tech-industry/china-presses-netherlands-to-resolve-nexperia-dispute-as-supply-concerns-grow
    🇨🇳 จีนกดดันเนเธอร์แลนด์ให้แก้ปัญหาความขัดแย้ง Nexperia รัฐบาลจีนเรียกร้องให้เนเธอร์แลนด์เร่งแก้ไขข้อพิพาทเกี่ยวกับบริษัท Nexperia ผู้ผลิตเซมิคอนดักเตอร์ของดัตช์ที่ถูกควบคุมโดย Wingtech Technology ของจีน หลังจากรัฐบาลเนเธอร์แลนด์เข้ามาแทรกแซงเพื่อป้องกันการถ่ายโอนเทคโนโลยี ทำให้เกิดการหยุดชะงักของห่วงโซ่อุปทานและการส่งออกชิ้นส่วนสำคัญ 🏛️ การแทรกแซงของรัฐและผลกระทบ ในเดือนกันยายน รัฐบาลเนเธอร์แลนด์ใช้กฎหมายยุคสงครามเย็นเพื่อวาง Nexperia ภายใต้การกำกับดูแลของรัฐ พร้อมระงับสิทธิ์การโหวตของผู้บริหารที่มาจาก Wingtech และแต่งตั้งผู้ดูแลอิสระแทน จีนตอบโต้ด้วยการหยุดการส่งออกชิ้นส่วนที่บรรจุในจีนกลับไปยุโรป ส่งผลให้การผลิตรถยนต์และอุตสาหกรรมอิเล็กทรอนิกส์ในยุโรปสะดุดทันที ⚡ ความเสี่ยงต่อห่วงโซ่อุปทานโลก กว่า 70% ของเวเฟอร์ที่ผลิตในยุโรปโดย Nexperia ต้องถูกส่งไปจีนเพื่อบรรจุและประกอบ เมื่อจีนหยุดส่งออก ทำให้เกิดการค้างสต็อกและใบแจ้งหนี้ที่ไม่ได้รับชำระจำนวนมาก ปัจจุบันทั้งสองฝ่ายผ่อนคลายข้อจำกัดบางส่วน แต่ยังไม่สามารถแก้ไขปัญหาการควบคุมบริษัทได้อย่างถาวร 🌐 บริบทเพิ่มเติมจากอุตสาหกรรมเซมิคอนดักเตอร์ กรณีนี้สะท้อนถึงความตึงเครียดด้าน ภูมิรัฐศาสตร์และเทคโนโลยี ที่เพิ่มขึ้นระหว่างจีนและยุโรป โดยเฉพาะในอุตสาหกรรมเซมิคอนดักเตอร์ที่เป็นหัวใจสำคัญของเศรษฐกิจโลก ขณะเดียวกันบริษัทญี่ปุ่นและเกาหลีใต้กำลังเร่งลงทุนเพื่อสร้างโรงงานใหม่ในประเทศตนเอง เพื่อลดการพึ่งพาห่วงโซ่อุปทานที่เสี่ยงต่อการเมือง 📌 สรุปสาระสำคัญ ✅ จีนเรียกร้องให้เนเธอร์แลนด์แก้ปัญหา Nexperia ➡️ หลังการแทรกแซงของรัฐทำให้ห่วงโซ่อุปทานสะดุด ✅ รัฐบาลเนเธอร์แลนด์ใช้กฎหมายยุคสงครามเย็น ➡️ ระงับสิทธิ์ผู้บริหารจาก Wingtech และแต่งตั้งผู้ดูแลอิสระ ✅ จีนตอบโต้ด้วยการหยุดส่งออกชิ้นส่วน ➡️ กระทบการผลิตรถยนต์และอุตสาหกรรมอิเล็กทรอนิกส์ในยุโรป ✅ ปัจจุบันผ่อนคลายข้อจำกัดบางส่วน ➡️ แต่ยังไม่แก้ปัญหาการควบคุมบริษัทได้ถาวร ‼️ ความเสี่ยงต่อห่วงโซ่อุปทานโลก ⛔ 70% ของเวเฟอร์ยุโรปต้องส่งไปจีนเพื่อบรรจุและประกอบ ‼️ ความตึงเครียดด้านภูมิรัฐศาสตร์ ⛔ อุตสาหกรรมเซมิคอนดักเตอร์ถูกใช้เป็นเครื่องมือทางการเมือง https://www.tomshardware.com/tech-industry/china-presses-netherlands-to-resolve-nexperia-dispute-as-supply-concerns-grow
    WWW.TOMSHARDWARE.COM
    Chinese government wades into Dutch chipmaker dispute — presses Netherlands to resolve Nexperia saga as supply concerns grow
    Beijing urges the Dutch government to allow talks with Wingtech after state intervention disrupted chip flows.
    0 Comments 0 Shares 206 Views 0 Reviews
  • TSMC พิจารณาอัปเกรดโรงงานที่ญี่ปุ่นสู่เทคโนโลยี 4nm

    รายงานระบุว่า TSMC กำลังพิจารณาอัปเกรดโรงงาน Fab 23 Phase 2 ในเมืองคุมาโมโตะ ประเทศญี่ปุ่น จากเดิมที่วางแผนผลิตชิป 6nm และ 7nm ให้สามารถผลิตชิป N4 (4nm-class) และ N5 (5nm-class) ได้ ซึ่งจะทำให้ลูกค้าในญี่ปุ่นเข้าถึงเทคโนโลยีที่ล้ำสมัยมากขึ้น

    ความท้าทายด้านการก่อสร้างและเครื่องจักร
    แม้การอัปเกรดจะเป็นไปได้เพราะเครื่องจักรที่ใช้ใน N7/N6 และ N5/N4 มีความคล้ายกันถึง 90% แต่การผลิต N4 ต้องใช้เครื่อง EUV lithography ที่มีขนาดใหญ่และซับซ้อนกว่า ทำให้ต้องมีการออกแบบใหม่บางส่วน ขณะเดียวกัน TSMC ได้แจ้งซัพพลายเออร์ว่าจะไม่ต้องการเครื่องจักรใหม่ในญี่ปุ่นตลอดปี 2026 เนื่องจากการก่อสร้างล่าช้า

    สัญญาณการหยุดชะงัก
    ภาพถ่ายล่าสุดจากไซต์ก่อสร้างแสดงให้เห็นว่าเครื่องจักรหนัก เช่น เครนและรถขุด ถูกนำออกไปแล้ว และมีการแจ้งซัพพลายเออร์ว่าการทำงานจะหยุดชั่วคราว นั่นหมายความว่าโรงงานอาจไม่พร้อมติดตั้งเครื่องจักรใหม่ในปีหน้า ซึ่งสะท้อนถึงความไม่แน่นอนของโครงการ แม้จะมีแผนรองรับการผลิตขั้นสูงในอนาคต

    บริบทเพิ่มเติมจากอุตสาหกรรมเซมิคอนดักเตอร์
    การอัปเกรดโรงงานในญี่ปุ่นถือเป็นส่วนหนึ่งของกลยุทธ์ กระจายการผลิตนอกไต้หวัน ของ TSMC เพื่อลดความเสี่ยงทางภูมิรัฐศาสตร์ ขณะเดียวกันคู่แข่งอย่าง Rapidus ก็มีแผนสร้างโรงงาน 1.4nm ในญี่ปุ่นภายในปี 2027 ซึ่งอาจทำให้ญี่ปุ่นกลายเป็นศูนย์กลางใหม่ของการผลิตชิปขั้นสูงในเอเชีย

    สรุปสาระสำคัญ
    TSMC พิจารณาอัปเกรดโรงงาน Fab 23 Phase 2
    จาก 6nm/7nm เป็น 4nm/5nm

    เครื่องจักร N7/N6 และ N5/N4 คล้ายกันถึง 90%
    ทำให้การอัปเกรดเป็นไปได้ง่ายขึ้น

    การก่อสร้างล่าช้า
    TSMC แจ้งว่าจะไม่ต้องการเครื่องจักรใหม่ในปี 2026

    ความไม่แน่นอนของโครงการ
    การหยุดชั่วคราวและการนำเครื่องจักรออกจากไซต์

    ความท้าทายด้าน EUV lithography
    ต้องใช้เครื่องที่ใหญ่และซับซ้อนกว่า DUV

    https://www.tomshardware.com/tech-industry/semiconductors/tmsc-ponders-upgrading-2nd-japan-fab-to-4nm-could-pave-the-way-for-more-advanced-chips-for-japanese-customers
    🏭 TSMC พิจารณาอัปเกรดโรงงานที่ญี่ปุ่นสู่เทคโนโลยี 4nm รายงานระบุว่า TSMC กำลังพิจารณาอัปเกรดโรงงาน Fab 23 Phase 2 ในเมืองคุมาโมโตะ ประเทศญี่ปุ่น จากเดิมที่วางแผนผลิตชิป 6nm และ 7nm ให้สามารถผลิตชิป N4 (4nm-class) และ N5 (5nm-class) ได้ ซึ่งจะทำให้ลูกค้าในญี่ปุ่นเข้าถึงเทคโนโลยีที่ล้ำสมัยมากขึ้น ⚙️ ความท้าทายด้านการก่อสร้างและเครื่องจักร แม้การอัปเกรดจะเป็นไปได้เพราะเครื่องจักรที่ใช้ใน N7/N6 และ N5/N4 มีความคล้ายกันถึง 90% แต่การผลิต N4 ต้องใช้เครื่อง EUV lithography ที่มีขนาดใหญ่และซับซ้อนกว่า ทำให้ต้องมีการออกแบบใหม่บางส่วน ขณะเดียวกัน TSMC ได้แจ้งซัพพลายเออร์ว่าจะไม่ต้องการเครื่องจักรใหม่ในญี่ปุ่นตลอดปี 2026 เนื่องจากการก่อสร้างล่าช้า 📉 สัญญาณการหยุดชะงัก ภาพถ่ายล่าสุดจากไซต์ก่อสร้างแสดงให้เห็นว่าเครื่องจักรหนัก เช่น เครนและรถขุด ถูกนำออกไปแล้ว และมีการแจ้งซัพพลายเออร์ว่าการทำงานจะหยุดชั่วคราว นั่นหมายความว่าโรงงานอาจไม่พร้อมติดตั้งเครื่องจักรใหม่ในปีหน้า ซึ่งสะท้อนถึงความไม่แน่นอนของโครงการ แม้จะมีแผนรองรับการผลิตขั้นสูงในอนาคต 🌐 บริบทเพิ่มเติมจากอุตสาหกรรมเซมิคอนดักเตอร์ การอัปเกรดโรงงานในญี่ปุ่นถือเป็นส่วนหนึ่งของกลยุทธ์ กระจายการผลิตนอกไต้หวัน ของ TSMC เพื่อลดความเสี่ยงทางภูมิรัฐศาสตร์ ขณะเดียวกันคู่แข่งอย่าง Rapidus ก็มีแผนสร้างโรงงาน 1.4nm ในญี่ปุ่นภายในปี 2027 ซึ่งอาจทำให้ญี่ปุ่นกลายเป็นศูนย์กลางใหม่ของการผลิตชิปขั้นสูงในเอเชีย 📌 สรุปสาระสำคัญ ✅ TSMC พิจารณาอัปเกรดโรงงาน Fab 23 Phase 2 ➡️ จาก 6nm/7nm เป็น 4nm/5nm ✅ เครื่องจักร N7/N6 และ N5/N4 คล้ายกันถึง 90% ➡️ ทำให้การอัปเกรดเป็นไปได้ง่ายขึ้น ✅ การก่อสร้างล่าช้า ➡️ TSMC แจ้งว่าจะไม่ต้องการเครื่องจักรใหม่ในปี 2026 ‼️ ความไม่แน่นอนของโครงการ ⛔ การหยุดชั่วคราวและการนำเครื่องจักรออกจากไซต์ ‼️ ความท้าทายด้าน EUV lithography ⛔ ต้องใช้เครื่องที่ใหญ่และซับซ้อนกว่า DUV https://www.tomshardware.com/tech-industry/semiconductors/tmsc-ponders-upgrading-2nd-japan-fab-to-4nm-could-pave-the-way-for-more-advanced-chips-for-japanese-customers
    0 Comments 0 Shares 168 Views 0 Reviews
  • "อุตสาหกรรมชิปเข้าสู่ Giga Cycle"

    ข่าวนี้เล่าถึงการที่อุตสาหกรรมเซมิคอนดักเตอร์กำลังเข้าสู่สิ่งที่นักวิเคราะห์เรียกว่า “Giga Cycle” ซึ่งเกิดจากการลงทุนมหาศาลในโครงสร้างพื้นฐาน AI ทำให้ความต้องการชิปทุกประเภท—ตั้งแต่ CPU, GPU, หน่วยความจำ ไปจนถึงการบรรจุขั้นสูง—พุ่งขึ้นพร้อมกันอย่างไม่เคยมีมาก่อน

    รายงานจาก Creative Strategies ระบุว่า ตลาดเซมิคอนดักเตอร์โลกจะทะลุ 1 ล้านล้านดอลลาร์ภายในปี 2028–2029 จากเดิมที่มีรายได้ราว 650 พันล้านดอลลาร์ในปี 2024 . ปัจจัยหลักคือการลงทุนในโครงสร้างพื้นฐาน AI ที่ใหญ่กว่าทุกการขยายตัวในอดีต . ทั้ง AMD, Nvidia และ Broadcom ต่างยืนยันว่าตลาดนี้คือโอกาสมหาศาล โดย AMD คาดว่าตลาดฮาร์ดแวร์ AI จะมีมูลค่า 1 ล้านล้านดอลลาร์ภายในปี 2030 .

    การเติบโตของ AI Accelerators และเซิร์ฟเวอร์
    AI Accelerators ที่มีมูลค่าไม่ถึง 100 พันล้านดอลลาร์ในปี 2024 ถูกคาดว่าจะโตถึง 300–350 พันล้านดอลลาร์ในปี 2029–2030 . ตลาดเซิร์ฟเวอร์ AI ก็จะพุ่งจาก 140 พันล้านดอลลาร์ในปี 2024 ไปถึง 850 พันล้านดอลลาร์ในปี 2030 . สิ่งนี้ทำให้การพัฒนา ASIC (Application-Specific Integrated Circuit) กลายเป็นหัวใจสำคัญในแผนงานของ Hyperscaler รายใหญ่ เช่น Broadcom ที่คาดว่าธุรกิจ custom silicon จะทะลุ 100 พันล้านดอลลาร์ภายในสิ้นทศวรรษ .

    หน่วยความจำและการบรรจุ: คอขวดสำคัญ
    ตลาดหน่วยความจำ โดยเฉพาะ HBM (High Bandwidth Memory) กำลังกลายเป็นคอขวดหลัก . รายได้จาก HBM ถูกคาดว่าจะโตจาก 16 พันล้านดอลลาร์ในปี 2024 ไปถึง 100 พันล้านดอลลาร์ในปี 2030 . ขณะเดียวกัน เทคโนโลยีการบรรจุขั้นสูงอย่าง CoWoS ก็กำลังถูกขยายกำลังการผลิตกว่า 60% ระหว่างปี 2025–2026 เพื่อรองรับความต้องการ .

    มุมมองเชิงกลยุทธ์
    สิ่งที่ทำให้ Giga Cycle แตกต่างจากรอบการเติบโตในอดีตคือ ทุกเซ็กเมนต์ของตลาดกำลังขยายตัวพร้อมกัน ไม่ว่าจะเป็น Compute, Memory, Networking หรือ Storage . นี่คือการเปลี่ยนแปลงเชิงโครงสร้างที่อาจทำให้ตลาดเซมิคอนดักเตอร์ไม่ใช่แค่ “รอบบูม” แต่เป็นการเข้าสู่ยุคใหม่ที่ AI กลายเป็นตัวขับเคลื่อนหลักของเศรษฐกิจโลก .

    สรุปสาระสำคัญ
    ตลาดเซมิคอนดักเตอร์โลกโตแรง
    คาดทะลุ 1 ล้านล้านดอลลาร์ภายในปี 2028–2029

    AI Accelerators และเซิร์ฟเวอร์ AI
    โตจาก 140 พันล้าน → 850 พันล้านดอลลาร์ภายในปี 2030

    ASIC และ Custom Silicon
    Broadcom คาดธุรกิจ custom silicon จะทะลุ 100 พันล้านดอลลาร์

    HBM และการบรรจุขั้นสูง
    HBM โตจาก 16 พันล้าน → 100 พันล้านดอลลาร์ในปี 2030
    CoWoS ขยายกำลังผลิตกว่า 60% ภายในปี 2026

    คำเตือนด้านคอขวดการผลิต
    หน่วยความจำและการบรรจุอาจไม่ทันต่อความต้องการ AI

    คำเตือนด้านความเสี่ยงตลาด
    หากการลงทุน AI ชะลอตัว อาจกระทบต่อการเติบโตของทั้งอุตสาหกรรม

    https://www.tomshardware.com/tech-industry/semiconductors/semiconductor-industry-enters-giga-cycle-as-ai-infrastructure-spending-reshapes-demand
    🌐 "อุตสาหกรรมชิปเข้าสู่ Giga Cycle" ข่าวนี้เล่าถึงการที่อุตสาหกรรมเซมิคอนดักเตอร์กำลังเข้าสู่สิ่งที่นักวิเคราะห์เรียกว่า “Giga Cycle” ซึ่งเกิดจากการลงทุนมหาศาลในโครงสร้างพื้นฐาน AI ทำให้ความต้องการชิปทุกประเภท—ตั้งแต่ CPU, GPU, หน่วยความจำ ไปจนถึงการบรรจุขั้นสูง—พุ่งขึ้นพร้อมกันอย่างไม่เคยมีมาก่อน รายงานจาก Creative Strategies ระบุว่า ตลาดเซมิคอนดักเตอร์โลกจะทะลุ 1 ล้านล้านดอลลาร์ภายในปี 2028–2029 จากเดิมที่มีรายได้ราว 650 พันล้านดอลลาร์ในปี 2024 . ปัจจัยหลักคือการลงทุนในโครงสร้างพื้นฐาน AI ที่ใหญ่กว่าทุกการขยายตัวในอดีต . ทั้ง AMD, Nvidia และ Broadcom ต่างยืนยันว่าตลาดนี้คือโอกาสมหาศาล โดย AMD คาดว่าตลาดฮาร์ดแวร์ AI จะมีมูลค่า 1 ล้านล้านดอลลาร์ภายในปี 2030 . ⚡ การเติบโตของ AI Accelerators และเซิร์ฟเวอร์ AI Accelerators ที่มีมูลค่าไม่ถึง 100 พันล้านดอลลาร์ในปี 2024 ถูกคาดว่าจะโตถึง 300–350 พันล้านดอลลาร์ในปี 2029–2030 . ตลาดเซิร์ฟเวอร์ AI ก็จะพุ่งจาก 140 พันล้านดอลลาร์ในปี 2024 ไปถึง 850 พันล้านดอลลาร์ในปี 2030 . สิ่งนี้ทำให้การพัฒนา ASIC (Application-Specific Integrated Circuit) กลายเป็นหัวใจสำคัญในแผนงานของ Hyperscaler รายใหญ่ เช่น Broadcom ที่คาดว่าธุรกิจ custom silicon จะทะลุ 100 พันล้านดอลลาร์ภายในสิ้นทศวรรษ . 🧠 หน่วยความจำและการบรรจุ: คอขวดสำคัญ ตลาดหน่วยความจำ โดยเฉพาะ HBM (High Bandwidth Memory) กำลังกลายเป็นคอขวดหลัก . รายได้จาก HBM ถูกคาดว่าจะโตจาก 16 พันล้านดอลลาร์ในปี 2024 ไปถึง 100 พันล้านดอลลาร์ในปี 2030 . ขณะเดียวกัน เทคโนโลยีการบรรจุขั้นสูงอย่าง CoWoS ก็กำลังถูกขยายกำลังการผลิตกว่า 60% ระหว่างปี 2025–2026 เพื่อรองรับความต้องการ . 🌍 มุมมองเชิงกลยุทธ์ สิ่งที่ทำให้ Giga Cycle แตกต่างจากรอบการเติบโตในอดีตคือ ทุกเซ็กเมนต์ของตลาดกำลังขยายตัวพร้อมกัน ไม่ว่าจะเป็น Compute, Memory, Networking หรือ Storage . นี่คือการเปลี่ยนแปลงเชิงโครงสร้างที่อาจทำให้ตลาดเซมิคอนดักเตอร์ไม่ใช่แค่ “รอบบูม” แต่เป็นการเข้าสู่ยุคใหม่ที่ AI กลายเป็นตัวขับเคลื่อนหลักของเศรษฐกิจโลก . 📌 สรุปสาระสำคัญ ✅ ตลาดเซมิคอนดักเตอร์โลกโตแรง ➡️ คาดทะลุ 1 ล้านล้านดอลลาร์ภายในปี 2028–2029 ✅ AI Accelerators และเซิร์ฟเวอร์ AI ➡️ โตจาก 140 พันล้าน → 850 พันล้านดอลลาร์ภายในปี 2030 ✅ ASIC และ Custom Silicon ➡️ Broadcom คาดธุรกิจ custom silicon จะทะลุ 100 พันล้านดอลลาร์ ✅ HBM และการบรรจุขั้นสูง ➡️ HBM โตจาก 16 พันล้าน → 100 พันล้านดอลลาร์ในปี 2030 ➡️ CoWoS ขยายกำลังผลิตกว่า 60% ภายในปี 2026 ‼️ คำเตือนด้านคอขวดการผลิต ⛔ หน่วยความจำและการบรรจุอาจไม่ทันต่อความต้องการ AI ‼️ คำเตือนด้านความเสี่ยงตลาด ⛔ หากการลงทุน AI ชะลอตัว อาจกระทบต่อการเติบโตของทั้งอุตสาหกรรม https://www.tomshardware.com/tech-industry/semiconductors/semiconductor-industry-enters-giga-cycle-as-ai-infrastructure-spending-reshapes-demand
    0 Comments 0 Shares 180 Views 0 Reviews
  • "Intel จับมือ Tata Group ผลักดันอินเดียสู่ศูนย์กลางชิปโลก"

    Intel ได้ลงนามในบันทึกข้อตกลง (MoU) กับ Tata Group หนึ่งในบริษัทใหญ่ที่สุดของอินเดีย เพื่อร่วมกันพัฒนาและผลิตชิป รวมถึงการบรรจุ (packaging) สำหรับตลาดท้องถิ่น . ความร่วมมือนี้ยังครอบคลุมการพัฒนา AI PC ทั้งในตลาดผู้บริโภคและองค์กร โดยตั้งเป้าให้อินเดียติดอันดับ Top 5 ตลาดคอมพิวเตอร์โลกภายในปี 2030.

    การลงทุนครั้งใหญ่: "โรงงานมูลค่า 14 พันล้านดอลลาร์"
    Tata Group กำลังสร้างโรงงานเซมิคอนดักเตอร์ 2 แห่งในอินเดีย มูลค่ารวมกว่า 14 พันล้านดอลลาร์ ได้แก่ โรงงานผลิตชิปในรัฐคุชราต และโรงงาน OSAT (Outsourced Semiconductor Assembly and Test) ในรัฐอัสสัม. การลงทุนนี้ถือเป็นโครงการใหญ่ที่สุดในอุตสาหกรรมเซมิคอนดักเตอร์ของอินเดียจนถึงปัจจุบัน .

    ผลักดัน India Semiconductor Mission (ISM)
    ความร่วมมือครั้งนี้ยังเป็นแรงหนุนสำคัญต่อโครงการ India Semiconductor Mission (ISM) ที่รัฐบาลอินเดียเปิดตัวตั้งแต่ปี 2021 ด้วยงบประมาณกว่า 10 พันล้านดอลลาร์ เพื่อสร้างระบบนิเวศครบวงจร ตั้งแต่การออกแบบ การผลิต ไปจนถึงการทดสอบชิป . โครงการนี้ยังดึงดูดผู้เล่นระดับโลก เช่น Micron, Foxconn และ Clas-SiC Wafer Fab เข้ามาร่วมลงทุน.

    มุมมองเชิงกลยุทธ์
    Intel มองว่าอินเดียเป็นหนึ่งในตลาดที่เติบโตเร็วที่สุดในโลก ด้วยความต้องการ PC และ AI ที่เพิ่มขึ้นอย่างต่อเนื่อง . การจับมือกับ Tata ไม่เพียงช่วยขยายธุรกิจ แต่ยังเป็นการสร้างฐานการผลิตที่มั่นคงในภูมิภาคเอเชีย ซึ่งจะช่วยลดการพึ่งพาประเทศอื่นและเสริมความมั่นคงด้านห่วงโซ่อุปทาน.

    สรุปสาระสำคัญ
    Intel จับมือ Tata Group
    ลงนาม MoU เพื่อผลิตและบรรจุชิปในอินเดีย

    การลงทุนครั้งใหญ่
    โรงงานเซมิคอนดักเตอร์ 2 แห่ง มูลค่า ~14 พันล้านดอลลาร์

    พัฒนา AI PC
    ตั้งเป้าให้อินเดียติด Top 5 ตลาดคอมพิวเตอร์โลกภายในปี 2030

    สนับสนุน India Semiconductor Mission
    โครงการรัฐบาลมูลค่า ~10 พันล้านดอลลาร์ ดึงดูดผู้เล่นระดับโลก

    คำเตือนด้านห่วงโซ่อุปทาน
    หากโครงการล่าช้า อินเดียอาจเสียโอกาสแข่งขันกับจีนและไต้หวัน

    คำเตือนด้านความเสี่ยงการลงทุน
    โรงงานใหม่ต้องใช้เวลาและเทคโนโลยีสูง อาจเจออุปสรรคด้านบุคลากรและโครงสร้างพื้นฐาน

    https://www.tomshardware.com/tech-industry/semiconductors/intel-boosts-indias-chip-push-with-new-tata-group-strategic-partnership-includes-manufacturing-and-packaging-of-intel-products-for-local-markets
    🤝 "Intel จับมือ Tata Group ผลักดันอินเดียสู่ศูนย์กลางชิปโลก" Intel ได้ลงนามในบันทึกข้อตกลง (MoU) กับ Tata Group หนึ่งในบริษัทใหญ่ที่สุดของอินเดีย เพื่อร่วมกันพัฒนาและผลิตชิป รวมถึงการบรรจุ (packaging) สำหรับตลาดท้องถิ่น . ความร่วมมือนี้ยังครอบคลุมการพัฒนา AI PC ทั้งในตลาดผู้บริโภคและองค์กร โดยตั้งเป้าให้อินเดียติดอันดับ Top 5 ตลาดคอมพิวเตอร์โลกภายในปี 2030. 🏭 การลงทุนครั้งใหญ่: "โรงงานมูลค่า 14 พันล้านดอลลาร์" Tata Group กำลังสร้างโรงงานเซมิคอนดักเตอร์ 2 แห่งในอินเดีย มูลค่ารวมกว่า 14 พันล้านดอลลาร์ ได้แก่ โรงงานผลิตชิปในรัฐคุชราต และโรงงาน OSAT (Outsourced Semiconductor Assembly and Test) ในรัฐอัสสัม. การลงทุนนี้ถือเป็นโครงการใหญ่ที่สุดในอุตสาหกรรมเซมิคอนดักเตอร์ของอินเดียจนถึงปัจจุบัน . ⚡ ผลักดัน India Semiconductor Mission (ISM) ความร่วมมือครั้งนี้ยังเป็นแรงหนุนสำคัญต่อโครงการ India Semiconductor Mission (ISM) ที่รัฐบาลอินเดียเปิดตัวตั้งแต่ปี 2021 ด้วยงบประมาณกว่า 10 พันล้านดอลลาร์ เพื่อสร้างระบบนิเวศครบวงจร ตั้งแต่การออกแบบ การผลิต ไปจนถึงการทดสอบชิป . โครงการนี้ยังดึงดูดผู้เล่นระดับโลก เช่น Micron, Foxconn และ Clas-SiC Wafer Fab เข้ามาร่วมลงทุน. 🌍 มุมมองเชิงกลยุทธ์ Intel มองว่าอินเดียเป็นหนึ่งในตลาดที่เติบโตเร็วที่สุดในโลก ด้วยความต้องการ PC และ AI ที่เพิ่มขึ้นอย่างต่อเนื่อง . การจับมือกับ Tata ไม่เพียงช่วยขยายธุรกิจ แต่ยังเป็นการสร้างฐานการผลิตที่มั่นคงในภูมิภาคเอเชีย ซึ่งจะช่วยลดการพึ่งพาประเทศอื่นและเสริมความมั่นคงด้านห่วงโซ่อุปทาน. 📌 สรุปสาระสำคัญ ✅ Intel จับมือ Tata Group ➡️ ลงนาม MoU เพื่อผลิตและบรรจุชิปในอินเดีย ✅ การลงทุนครั้งใหญ่ ➡️ โรงงานเซมิคอนดักเตอร์ 2 แห่ง มูลค่า ~14 พันล้านดอลลาร์ ✅ พัฒนา AI PC ➡️ ตั้งเป้าให้อินเดียติด Top 5 ตลาดคอมพิวเตอร์โลกภายในปี 2030 ✅ สนับสนุน India Semiconductor Mission ➡️ โครงการรัฐบาลมูลค่า ~10 พันล้านดอลลาร์ ดึงดูดผู้เล่นระดับโลก ‼️ คำเตือนด้านห่วงโซ่อุปทาน ⛔ หากโครงการล่าช้า อินเดียอาจเสียโอกาสแข่งขันกับจีนและไต้หวัน ‼️ คำเตือนด้านความเสี่ยงการลงทุน ⛔ โรงงานใหม่ต้องใช้เวลาและเทคโนโลยีสูง อาจเจออุปสรรคด้านบุคลากรและโครงสร้างพื้นฐาน https://www.tomshardware.com/tech-industry/semiconductors/intel-boosts-indias-chip-push-with-new-tata-group-strategic-partnership-includes-manufacturing-and-packaging-of-intel-products-for-local-markets
    0 Comments 0 Shares 250 Views 0 Reviews
  • Arm ตั้งศูนย์ฝึกอบรมชิปในเกาหลีใต้

    เมื่อวันที่ 5 ธันวาคม 2025 SoftBank Group และ Arm Holdings ได้ลงนามบันทึกความเข้าใจกับกระทรวงอุตสาหกรรมของเกาหลีใต้ เพื่อจัดตั้ง Chip Design School ในประเทศ โดยมีเป้าหมายเพื่อพัฒนาทักษะบุคลากรด้านเซมิคอนดักเตอร์และ AI ซึ่งเป็นอุตสาหกรรมยุทธศาสตร์ของเกาหลีใต้

    ความร่วมมือระดับรัฐบาลและเอกชน
    การลงนามครั้งนี้เกิดขึ้นระหว่างการพบปะของประธานาธิบดี Lee Jae Myung และ Masayoshi Son ซีอีโอของ SoftBank ที่ทำเนียบประธานาธิบดีในกรุงโซล รัฐบาลเกาหลีใต้หวังว่าความร่วมมือกับ Arm จะช่วยยกระดับความสามารถในการแข่งขันของประเทศในตลาดโลก โดยเฉพาะในยุคที่ความต้องการชิป AI และชิปประสิทธิภาพสูงเพิ่มขึ้นอย่างต่อเนื่อง

    ผลกระทบต่ออุตสาหกรรมและบุคลากร
    ศูนย์ฝึกอบรมนี้จะเป็นแหล่งบ่มเพาะนักออกแบบชิปและนักวิจัยรุ่นใหม่ ช่วยลดการพึ่งพาต่างประเทศ และสร้างโอกาสให้สตาร์ทอัพด้าน AI และฮาร์ดแวร์ในเกาหลีใต้เติบโตได้รวดเร็วขึ้น นอกจากนี้ยังเป็นการเสริมความมั่นคงทางเทคโนโลยีในภูมิภาคเอเชีย ซึ่งกำลังแข่งขันกันอย่างดุเดือดในตลาดเซมิคอนดักเตอร์

    ความท้าทายและข้อควรระวัง
    แม้โครงการนี้จะเป็นโอกาสสำคัญ แต่ก็มีความท้าทาย เช่น การขาดแคลนบุคลากรที่มีทักษะสูง การลงทุนที่ต้องใช้เงินจำนวนมาก และการแข่งขันจากประเทศอื่น ๆ เช่น ไต้หวันและจีน หากไม่สามารถสร้างระบบนิเวศที่แข็งแรงพอ เกาหลีใต้อาจยังคงต้องพึ่งพาการนำเข้าชิปขั้นสูงจากต่างประเทศ

    สรุปประเด็นสำคัญ
    SoftBank และ Arm ลงนามตั้งศูนย์ฝึกอบรมชิปในเกาหลีใต้
    เป้าหมายเพื่อพัฒนาทักษะบุคลากรด้านเซมิคอนดักเตอร์และ AI

    ความร่วมมือระดับสูงระหว่างรัฐบาลและเอกชน
    ประธานาธิบดี Lee Jae Myung พบ Masayoshi Son เพื่อผลักดันโครงการ

    ผลกระทบเชิงบวกต่ออุตสาหกรรมและบุคลากร
    สร้างโอกาสให้สตาร์ทอัพและลดการพึ่งพาต่างประเทศ

    คำเตือนและความท้าทาย
    การขาดบุคลากรที่มีทักษะสูงอาจทำให้โครงการเดินหน้าได้ช้า
    การแข่งขันจากจีนและไต้หวันยังคงเป็นแรงกดดันต่อเกาหลีใต้

    https://www.thestar.com.my/tech/tech-news/2025/12/05/softbank039s-arm-plans-to-set-up-chip-training-facility-in-south-korea
    🏭 Arm ตั้งศูนย์ฝึกอบรมชิปในเกาหลีใต้ เมื่อวันที่ 5 ธันวาคม 2025 SoftBank Group และ Arm Holdings ได้ลงนามบันทึกความเข้าใจกับกระทรวงอุตสาหกรรมของเกาหลีใต้ เพื่อจัดตั้ง Chip Design School ในประเทศ โดยมีเป้าหมายเพื่อพัฒนาทักษะบุคลากรด้านเซมิคอนดักเตอร์และ AI ซึ่งเป็นอุตสาหกรรมยุทธศาสตร์ของเกาหลีใต้ 🤝 ความร่วมมือระดับรัฐบาลและเอกชน การลงนามครั้งนี้เกิดขึ้นระหว่างการพบปะของประธานาธิบดี Lee Jae Myung และ Masayoshi Son ซีอีโอของ SoftBank ที่ทำเนียบประธานาธิบดีในกรุงโซล รัฐบาลเกาหลีใต้หวังว่าความร่วมมือกับ Arm จะช่วยยกระดับความสามารถในการแข่งขันของประเทศในตลาดโลก โดยเฉพาะในยุคที่ความต้องการชิป AI และชิปประสิทธิภาพสูงเพิ่มขึ้นอย่างต่อเนื่อง 📈 ผลกระทบต่ออุตสาหกรรมและบุคลากร ศูนย์ฝึกอบรมนี้จะเป็นแหล่งบ่มเพาะนักออกแบบชิปและนักวิจัยรุ่นใหม่ ช่วยลดการพึ่งพาต่างประเทศ และสร้างโอกาสให้สตาร์ทอัพด้าน AI และฮาร์ดแวร์ในเกาหลีใต้เติบโตได้รวดเร็วขึ้น นอกจากนี้ยังเป็นการเสริมความมั่นคงทางเทคโนโลยีในภูมิภาคเอเชีย ซึ่งกำลังแข่งขันกันอย่างดุเดือดในตลาดเซมิคอนดักเตอร์ ⚠️ ความท้าทายและข้อควรระวัง แม้โครงการนี้จะเป็นโอกาสสำคัญ แต่ก็มีความท้าทาย เช่น การขาดแคลนบุคลากรที่มีทักษะสูง การลงทุนที่ต้องใช้เงินจำนวนมาก และการแข่งขันจากประเทศอื่น ๆ เช่น ไต้หวันและจีน หากไม่สามารถสร้างระบบนิเวศที่แข็งแรงพอ เกาหลีใต้อาจยังคงต้องพึ่งพาการนำเข้าชิปขั้นสูงจากต่างประเทศ 📌 สรุปประเด็นสำคัญ ✅ SoftBank และ Arm ลงนามตั้งศูนย์ฝึกอบรมชิปในเกาหลีใต้ ➡️ เป้าหมายเพื่อพัฒนาทักษะบุคลากรด้านเซมิคอนดักเตอร์และ AI ✅ ความร่วมมือระดับสูงระหว่างรัฐบาลและเอกชน ➡️ ประธานาธิบดี Lee Jae Myung พบ Masayoshi Son เพื่อผลักดันโครงการ ✅ ผลกระทบเชิงบวกต่ออุตสาหกรรมและบุคลากร ➡️ สร้างโอกาสให้สตาร์ทอัพและลดการพึ่งพาต่างประเทศ ‼️ คำเตือนและความท้าทาย ⛔ การขาดบุคลากรที่มีทักษะสูงอาจทำให้โครงการเดินหน้าได้ช้า ⛔ การแข่งขันจากจีนและไต้หวันยังคงเป็นแรงกดดันต่อเกาหลีใต้ https://www.thestar.com.my/tech/tech-news/2025/12/05/softbank039s-arm-plans-to-set-up-chip-training-facility-in-south-korea
    WWW.THESTAR.COM.MY
    SoftBank's Arm plans to set up chip training facility in South Korea
    SEOUL, Dec 5 (Reuters) - South Korea's industry ministry and SoftBank's chip unit, Arm Holdings, have signed an agreement to strengthen the country's semiconductor and Artificial Intelligence sectors, a presidential policy adviser said on Friday.
    0 Comments 0 Shares 211 Views 0 Reviews
  • "UMC จับมือ Polar ขยายการผลิตชิป 8 นิ้วในสหรัฐฯ"

    UMC ซึ่งเป็นผู้ผลิตชิปรายใหญ่เป็นอันดับสองของไต้หวัน รองจาก TSMC ได้ลงนามบันทึกความเข้าใจกับ Polar Semiconductor เพื่อร่วมกันสำรวจการผลิตเวเฟอร์ 8 นิ้วในสหรัฐฯ โดยจะใช้โรงงานของ Polar ที่เพิ่งขยายในรัฐมินนิโซตาเป็นฐานการผลิต.

    ความร่วมมือนี้มีเป้าหมายเพื่อรองรับความต้องการจากหลายอุตสาหกรรม เช่น ยานยนต์, ศูนย์ข้อมูล, อิเล็กทรอนิกส์ผู้บริโภค, และการบิน–กลาโหม ซึ่งต้องการชิป 8 นิ้วที่มีความเสถียรและสามารถจัดหาจากหลายแหล่ง (multi-sourcing strategy).

    UMC จะนำเทคโนโลยีและฐานลูกค้าทั่วโลกมาผสานกับศักยภาพการผลิตในสหรัฐฯ ของ Polar เพื่อสร้างความยืดหยุ่นในห่วงโซ่อุปทาน และลดการพึ่งพาการผลิตในเอเชียเพียงอย่างเดียว ซึ่งสอดคล้องกับนโยบายของสหรัฐฯ ที่ต้องการเพิ่มการผลิตชิปภายในประเทศ.

    ผู้บริหารทั้งสองฝ่ายย้ำว่า ความร่วมมือครั้งนี้เป็นการตอบสนองต่อความต้องการ “Made in USA chips” และช่วยเสริมความมั่นคงด้านเทคโนโลยีในยุคที่การแข่งขันด้านเซมิคอนดักเตอร์ทวีความเข้มข้น โดยเฉพาะเมื่อ AI และยานยนต์ไฟฟ้ากำลังผลักดันความต้องการชิปเพิ่มขึ้นอย่างมหาศาล.

    สรุปประเด็นสำคัญ
    ข้อมูลจากข่าว
    UMC ลงนามบันทึกความเข้าใจกับ Polar Semiconductor
    ใช้โรงงาน Polar ในรัฐมินนิโซตาที่เพิ่งขยายเป็นฐานผลิต
    รองรับอุตสาหกรรมยานยนต์, ศูนย์ข้อมูล, อิเล็กทรอนิกส์ผู้บริโภค, การบิน–กลาโหม
    สอดคล้องกับกลยุทธ์ multi-sourcing และนโยบาย Made in USA

    ข้อมูลเสริมจาก Internet
    ตลาดชิป 8 นิ้วยังคงมีความต้องการสูงในอุตสาหกรรมยานยนต์และ IoT
    สหรัฐฯ ออกกฎหมาย CHIPS Act เพื่อสนับสนุนการผลิตเซมิคอนดักเตอร์ในประเทศ
    Polar Semiconductor เป็นหนึ่งในบริษัทที่ได้รับการสนับสนุนทุนเพื่อขยายกำลังการผลิต

    คำเตือนจากข่าว
    การขยายการผลิตในสหรัฐฯ อาจเจอความท้าทายด้านต้นทุนและแรงงาน
    ความตึงเครียดทางภูมิรัฐศาสตร์อาจทำให้ห่วงโซ่อุปทานยังคงเปราะบาง
    การแข่งขันกับผู้ผลิตรายใหญ่ เช่น TSMC และ Samsung อาจทำให้ตลาดกดดันด้านราคา

    https://www.thestar.com.my/tech/tech-news/2025/12/04/taiwan039s-umc-in-pact-with-polar-to-explore-us-production-of-eight-inch-chip
    🔧 "UMC จับมือ Polar ขยายการผลิตชิป 8 นิ้วในสหรัฐฯ" UMC ซึ่งเป็นผู้ผลิตชิปรายใหญ่เป็นอันดับสองของไต้หวัน รองจาก TSMC ได้ลงนามบันทึกความเข้าใจกับ Polar Semiconductor เพื่อร่วมกันสำรวจการผลิตเวเฟอร์ 8 นิ้วในสหรัฐฯ โดยจะใช้โรงงานของ Polar ที่เพิ่งขยายในรัฐมินนิโซตาเป็นฐานการผลิต. ความร่วมมือนี้มีเป้าหมายเพื่อรองรับความต้องการจากหลายอุตสาหกรรม เช่น ยานยนต์, ศูนย์ข้อมูล, อิเล็กทรอนิกส์ผู้บริโภค, และการบิน–กลาโหม ซึ่งต้องการชิป 8 นิ้วที่มีความเสถียรและสามารถจัดหาจากหลายแหล่ง (multi-sourcing strategy). UMC จะนำเทคโนโลยีและฐานลูกค้าทั่วโลกมาผสานกับศักยภาพการผลิตในสหรัฐฯ ของ Polar เพื่อสร้างความยืดหยุ่นในห่วงโซ่อุปทาน และลดการพึ่งพาการผลิตในเอเชียเพียงอย่างเดียว ซึ่งสอดคล้องกับนโยบายของสหรัฐฯ ที่ต้องการเพิ่มการผลิตชิปภายในประเทศ. ผู้บริหารทั้งสองฝ่ายย้ำว่า ความร่วมมือครั้งนี้เป็นการตอบสนองต่อความต้องการ “Made in USA chips” และช่วยเสริมความมั่นคงด้านเทคโนโลยีในยุคที่การแข่งขันด้านเซมิคอนดักเตอร์ทวีความเข้มข้น โดยเฉพาะเมื่อ AI และยานยนต์ไฟฟ้ากำลังผลักดันความต้องการชิปเพิ่มขึ้นอย่างมหาศาล. 📌 สรุปประเด็นสำคัญ ✅ ข้อมูลจากข่าว ➡️ UMC ลงนามบันทึกความเข้าใจกับ Polar Semiconductor ➡️ ใช้โรงงาน Polar ในรัฐมินนิโซตาที่เพิ่งขยายเป็นฐานผลิต ➡️ รองรับอุตสาหกรรมยานยนต์, ศูนย์ข้อมูล, อิเล็กทรอนิกส์ผู้บริโภค, การบิน–กลาโหม ➡️ สอดคล้องกับกลยุทธ์ multi-sourcing และนโยบาย Made in USA ✅ ข้อมูลเสริมจาก Internet ➡️ ตลาดชิป 8 นิ้วยังคงมีความต้องการสูงในอุตสาหกรรมยานยนต์และ IoT ➡️ สหรัฐฯ ออกกฎหมาย CHIPS Act เพื่อสนับสนุนการผลิตเซมิคอนดักเตอร์ในประเทศ ➡️ Polar Semiconductor เป็นหนึ่งในบริษัทที่ได้รับการสนับสนุนทุนเพื่อขยายกำลังการผลิต ‼️ คำเตือนจากข่าว ⛔ การขยายการผลิตในสหรัฐฯ อาจเจอความท้าทายด้านต้นทุนและแรงงาน ⛔ ความตึงเครียดทางภูมิรัฐศาสตร์อาจทำให้ห่วงโซ่อุปทานยังคงเปราะบาง ⛔ การแข่งขันกับผู้ผลิตรายใหญ่ เช่น TSMC และ Samsung อาจทำให้ตลาดกดดันด้านราคา https://www.thestar.com.my/tech/tech-news/2025/12/04/taiwan039s-umc-in-pact-with-polar-to-explore-us-production-of-eight-inch-chip
    WWW.THESTAR.COM.MY
    Taiwan's UMC in pact with Polar to explore US production of eight-inch chip
    TAIPEI, Dec 4 (Reuters) - Taiwan chip maker United Microelectronics Corp (UMC) said on Thursday it had signed a memorandum of understanding with U.S.-based Polar Semiconductor to explore collaboration on manufacturing of 8-inch wafers in the United States.
    0 Comments 0 Shares 271 Views 0 Reviews
  • DeepPix: เซ็นเซอร์ใหม่จาก Samsung

    Samsung กำลังพัฒนาเซ็นเซอร์กล้องใหม่ชื่อ DeepPix ซึ่งถูกมองว่าเป็นตัวแทนของ ISOCELL ที่ใช้งานมานาน โดยมีการยื่นจดเครื่องหมายการค้าในหลายประเทศ และคาดว่าจะเป็นการตอบโต้ต่อคู่แข่งอย่าง Sony ที่เพิ่งเปิดตัวเซ็นเซอร์ LYTIA 901

    Samsung ยื่นจดเครื่องหมายการค้า DeepPix ในสหรัฐฯ, สหภาพยุโรป และอาร์เจนตินา โดยระบุว่าเป็น CMOS image sensor ซึ่งสามารถแปลงแสงเป็นสัญญาณดิจิทัลได้อย่างมีประสิทธิภาพ จุดเด่นของ CMOS คือ ใช้พลังงานต่ำ, ความเร็วสูง และต้นทุนการผลิตต่ำ เนื่องจากสามารถผลิตด้วยกระบวนการเซมิคอนดักเตอร์มาตรฐาน

    การเปลี่ยนผ่านจาก ISOCELL
    Samsung ใช้แบรนด์ ISOCELL มาตั้งแต่ปี 2013 แต่ด้วยการแข่งขันที่รุนแรงจาก Sony และ OmniVision ทำให้บริษัทต้องหาทางรีแบรนด์และพัฒนาเทคโนโลยีใหม่ DeepPix จึงถูกมองว่าเป็น การรีเฟรชแบรนด์ และอาจมาพร้อมฟีเจอร์ใหม่ เช่น การลด noise, ADC แบบละเอียด และวงจรประมวลผลภาพในตัว

    การแข่งขันกับ Sony และคู่แข่ง
    Sony เพิ่งเปิดตัวเซ็นเซอร์ LYTIA 901 ขนาด 200MP ที่ใช้เทคโนโลยี Quad-Quad Bayer Coding (QQBC) และ HDR ขั้นสูง ทำให้ DeepPix ถูกคาดว่าจะเป็นการตอบโต้โดยตรง เพื่อรักษาส่วนแบ่งตลาดในสมาร์ทโฟนระดับเรือธง โดยเฉพาะในซีรีส์ Galaxy Ultra รุ่นถัดไป

    ยังไม่พร้อมเปิดตัวใน Galaxy S26
    แม้จะมีข่าวลือ แต่รายงานระบุว่า Galaxy S26 Ultra จะยังใช้ ISOCELL HP2 และกล้องจาก Sony เช่น IMX564 และ IMX854 ทำให้ DeepPix อาจถูกเลื่อนการเปิดตัวไปในรุ่นหลังจากนั้น เพื่อให้มีเวลาในการพัฒนาและทดสอบอย่างเต็มที่

    สรุปประเด็นสำคัญ
    Samsung ยื่นจดเครื่องหมายการค้า DeepPix
    ระบุว่าเป็น CMOS image sensor

    DeepPix ถูกมองว่าเป็นการแทนที่ ISOCELL
    ใช้พลังงานต่ำ, ความเร็วสูง, ต้นทุนต่ำ

    Sony เปิดตัว LYTIA 901 ขนาด 200MP
    เทคโนโลยี QQBC และ HDR ขั้นสูง

    DeepPix ยังไม่พร้อมใช้ใน Galaxy S26 Ultra
    รุ่นนี้ยังคงใช้ ISOCELL HP2 และเซ็นเซอร์ Sony

    การแข่งขันในตลาดเซ็นเซอร์รุนแรงมาก
    Samsung ต้องเร่งพัฒนาเพื่อไม่ให้เสียส่วนแบ่งตลาด

    https://wccftech.com/meet-samsungs-deeppix-camera-sensor-a-likely-replacement-for-the-ageing-isocell/
    📸 DeepPix: เซ็นเซอร์ใหม่จาก Samsung Samsung กำลังพัฒนาเซ็นเซอร์กล้องใหม่ชื่อ DeepPix ซึ่งถูกมองว่าเป็นตัวแทนของ ISOCELL ที่ใช้งานมานาน โดยมีการยื่นจดเครื่องหมายการค้าในหลายประเทศ และคาดว่าจะเป็นการตอบโต้ต่อคู่แข่งอย่าง Sony ที่เพิ่งเปิดตัวเซ็นเซอร์ LYTIA 901 Samsung ยื่นจดเครื่องหมายการค้า DeepPix ในสหรัฐฯ, สหภาพยุโรป และอาร์เจนตินา โดยระบุว่าเป็น CMOS image sensor ซึ่งสามารถแปลงแสงเป็นสัญญาณดิจิทัลได้อย่างมีประสิทธิภาพ จุดเด่นของ CMOS คือ ใช้พลังงานต่ำ, ความเร็วสูง และต้นทุนการผลิตต่ำ เนื่องจากสามารถผลิตด้วยกระบวนการเซมิคอนดักเตอร์มาตรฐาน 🔍 การเปลี่ยนผ่านจาก ISOCELL Samsung ใช้แบรนด์ ISOCELL มาตั้งแต่ปี 2013 แต่ด้วยการแข่งขันที่รุนแรงจาก Sony และ OmniVision ทำให้บริษัทต้องหาทางรีแบรนด์และพัฒนาเทคโนโลยีใหม่ DeepPix จึงถูกมองว่าเป็น การรีเฟรชแบรนด์ และอาจมาพร้อมฟีเจอร์ใหม่ เช่น การลด noise, ADC แบบละเอียด และวงจรประมวลผลภาพในตัว 🎮 การแข่งขันกับ Sony และคู่แข่ง Sony เพิ่งเปิดตัวเซ็นเซอร์ LYTIA 901 ขนาด 200MP ที่ใช้เทคโนโลยี Quad-Quad Bayer Coding (QQBC) และ HDR ขั้นสูง ทำให้ DeepPix ถูกคาดว่าจะเป็นการตอบโต้โดยตรง เพื่อรักษาส่วนแบ่งตลาดในสมาร์ทโฟนระดับเรือธง โดยเฉพาะในซีรีส์ Galaxy Ultra รุ่นถัดไป ⚠️ ยังไม่พร้อมเปิดตัวใน Galaxy S26 แม้จะมีข่าวลือ แต่รายงานระบุว่า Galaxy S26 Ultra จะยังใช้ ISOCELL HP2 และกล้องจาก Sony เช่น IMX564 และ IMX854 ทำให้ DeepPix อาจถูกเลื่อนการเปิดตัวไปในรุ่นหลังจากนั้น เพื่อให้มีเวลาในการพัฒนาและทดสอบอย่างเต็มที่ 📌 สรุปประเด็นสำคัญ ✅ Samsung ยื่นจดเครื่องหมายการค้า DeepPix ➡️ ระบุว่าเป็น CMOS image sensor ✅ DeepPix ถูกมองว่าเป็นการแทนที่ ISOCELL ➡️ ใช้พลังงานต่ำ, ความเร็วสูง, ต้นทุนต่ำ ✅ Sony เปิดตัว LYTIA 901 ขนาด 200MP ➡️ เทคโนโลยี QQBC และ HDR ขั้นสูง ‼️ DeepPix ยังไม่พร้อมใช้ใน Galaxy S26 Ultra ⛔ รุ่นนี้ยังคงใช้ ISOCELL HP2 และเซ็นเซอร์ Sony ‼️ การแข่งขันในตลาดเซ็นเซอร์รุนแรงมาก ⛔ Samsung ต้องเร่งพัฒนาเพื่อไม่ให้เสียส่วนแบ่งตลาด https://wccftech.com/meet-samsungs-deeppix-camera-sensor-a-likely-replacement-for-the-ageing-isocell/
    WCCFTECH.COM
    Samsung DeepPix: Can This New Sensor Take On Sony's LYTIA 901?
    Samsung's DeepPix might be a response to Sony's new 200MP sensor, called LYTIA 901, which features a 1/1.12-inch aperture and 0.7 µm pixels.
    0 Comments 0 Shares 195 Views 0 Reviews
  • ไต้หวันฟ้อง Tokyo Electron กรณีขโมยข้อมูล TSMC

    อัยการไต้หวันได้ตั้งข้อหาต่อบริษัท Tokyo Electron โดยกล่าวหาว่าบริษัทไม่สามารถป้องกันพนักงานของตนจากการพยายามขโมยข้อมูลลับทางการค้าและเทคโนโลยีที่เกี่ยวข้องกับกระบวนการผลิตชิป 2nm ของ TSMC ซึ่งถือเป็นเทคโนโลยีที่ล้ำสมัยที่สุดในโลก

    รายละเอียดของคดี
    กลุ่มพนักงานทั้งอดีตและปัจจุบันของ Tokyo Electron ถูกกล่าวหาว่าพยายามนำข้อมูลไปใช้เพื่อปรับปรุงเครื่องจักรแกะสลัก (etching machines) ของบริษัท เพื่อให้ได้สัญญามากขึ้นจาก TSMC แม้จะไม่มีหลักฐานว่าบริษัทใช้ข้อมูลที่ถูกขโมย แต่ทางการไต้หวันชี้ว่า Tokyo Electron ขาดมาตรการป้องกันที่เข้มงวดและควรรับผิดชอบในฐานะองค์กร

    ผลกระทบเชิงยุทธศาสตร์
    คดีนี้สะท้อนถึงความสำคัญของ TSMC ในฐานะผู้ผลิตชิปขั้นสูงที่เป็นหัวใจของอุตสาหกรรมโลก ทั้ง Nvidia, AMD และ Apple ต่างพึ่งพาเทคโนโลยีของ TSMC การพยายามขโมยข้อมูลจึงถูกมองว่าเป็นภัยต่อความมั่นคงทางเศรษฐกิจและความมั่นคงแห่งชาติของไต้หวัน

    มุมมองในอนาคต
    Tokyo Electron ระบุว่ากำลังให้ความร่วมมือกับการสอบสวนและได้ไล่ออกพนักงานที่เกี่ยวข้องแล้ว อย่างไรก็ตาม คดีนี้อาจส่งผลต่อความสัมพันธ์ทางธุรกิจระหว่างญี่ปุ่นและไต้หวัน รวมถึงการกำหนดมาตรการเข้มงวดขึ้นในการป้องกันการรั่วไหลของข้อมูลในอุตสาหกรรมเซมิคอนดักเตอร์

    สรุปสาระสำคัญ
    อัยการไต้หวันตั้งข้อหา Tokyo Electron
    ล้มเหลวในการป้องกันพนักงานจากการขโมยข้อมูล TSMC

    ข้อมูลที่ถูกพยายามขโมยเกี่ยวข้องกับเทคโนโลยี 2nm ของ TSMC
    ใช้เพื่อปรับปรุงเครื่องจักรแกะสลักของบริษัท

    TSMC เป็นหัวใจสำคัญของอุตสาหกรรมโลก
    มีลูกค้ารายใหญ่ เช่น Nvidia, AMD และ Apple

    การขโมยข้อมูลถูกมองว่าเป็นภัยต่อความมั่นคงของไต้หวัน
    อาจกระทบต่อความสัมพันธ์ทางเศรษฐกิจและการเมือง

    Tokyo Electron อาจเผชิญบทลงโทษทางกฎหมายและชื่อเสียง
    ต้องเพิ่มมาตรการป้องกันข้อมูลภายในองค์กร

    https://www.tomshardware.com/tech-industry/taiwan-hits-japanese-firm-with-indictment-in-tsmc-data-theft-saga-tokyo-electron-charged-with-failing-to-prevent-its-staff-from-stealing-trade-secrets
    ⚖️ ไต้หวันฟ้อง Tokyo Electron กรณีขโมยข้อมูล TSMC อัยการไต้หวันได้ตั้งข้อหาต่อบริษัท Tokyo Electron โดยกล่าวหาว่าบริษัทไม่สามารถป้องกันพนักงานของตนจากการพยายามขโมยข้อมูลลับทางการค้าและเทคโนโลยีที่เกี่ยวข้องกับกระบวนการผลิตชิป 2nm ของ TSMC ซึ่งถือเป็นเทคโนโลยีที่ล้ำสมัยที่สุดในโลก 🔧 รายละเอียดของคดี กลุ่มพนักงานทั้งอดีตและปัจจุบันของ Tokyo Electron ถูกกล่าวหาว่าพยายามนำข้อมูลไปใช้เพื่อปรับปรุงเครื่องจักรแกะสลัก (etching machines) ของบริษัท เพื่อให้ได้สัญญามากขึ้นจาก TSMC แม้จะไม่มีหลักฐานว่าบริษัทใช้ข้อมูลที่ถูกขโมย แต่ทางการไต้หวันชี้ว่า Tokyo Electron ขาดมาตรการป้องกันที่เข้มงวดและควรรับผิดชอบในฐานะองค์กร 🌍 ผลกระทบเชิงยุทธศาสตร์ คดีนี้สะท้อนถึงความสำคัญของ TSMC ในฐานะผู้ผลิตชิปขั้นสูงที่เป็นหัวใจของอุตสาหกรรมโลก ทั้ง Nvidia, AMD และ Apple ต่างพึ่งพาเทคโนโลยีของ TSMC การพยายามขโมยข้อมูลจึงถูกมองว่าเป็นภัยต่อความมั่นคงทางเศรษฐกิจและความมั่นคงแห่งชาติของไต้หวัน 📊 มุมมองในอนาคต Tokyo Electron ระบุว่ากำลังให้ความร่วมมือกับการสอบสวนและได้ไล่ออกพนักงานที่เกี่ยวข้องแล้ว อย่างไรก็ตาม คดีนี้อาจส่งผลต่อความสัมพันธ์ทางธุรกิจระหว่างญี่ปุ่นและไต้หวัน รวมถึงการกำหนดมาตรการเข้มงวดขึ้นในการป้องกันการรั่วไหลของข้อมูลในอุตสาหกรรมเซมิคอนดักเตอร์ 📌 สรุปสาระสำคัญ ✅ อัยการไต้หวันตั้งข้อหา Tokyo Electron ➡️ ล้มเหลวในการป้องกันพนักงานจากการขโมยข้อมูล TSMC ✅ ข้อมูลที่ถูกพยายามขโมยเกี่ยวข้องกับเทคโนโลยี 2nm ของ TSMC ➡️ ใช้เพื่อปรับปรุงเครื่องจักรแกะสลักของบริษัท ✅ TSMC เป็นหัวใจสำคัญของอุตสาหกรรมโลก ➡️ มีลูกค้ารายใหญ่ เช่น Nvidia, AMD และ Apple ‼️ การขโมยข้อมูลถูกมองว่าเป็นภัยต่อความมั่นคงของไต้หวัน ⛔ อาจกระทบต่อความสัมพันธ์ทางเศรษฐกิจและการเมือง ‼️ Tokyo Electron อาจเผชิญบทลงโทษทางกฎหมายและชื่อเสียง ⛔ ต้องเพิ่มมาตรการป้องกันข้อมูลภายในองค์กร https://www.tomshardware.com/tech-industry/taiwan-hits-japanese-firm-with-indictment-in-tsmc-data-theft-saga-tokyo-electron-charged-with-failing-to-prevent-its-staff-from-stealing-trade-secrets
    0 Comments 0 Shares 276 Views 0 Reviews
  • สหรัฐฯ หนุน xLight พัฒนาเทคโนโลยี EUV รุ่นใหม่

    กระทรวงพาณิชย์สหรัฐฯ ลงนามใน Letter of Intent (LOI) เพื่อสนับสนุนเงินทุน 150 ล้านดอลลาร์แก่บริษัท xLight ที่ตั้งอยู่ใน Albany Nanotech Complex โดยมีเป้าหมายสร้างระบบ FEL-based EUV light source ซึ่งจะช่วยยกระดับการผลิตเซมิคอนดักเตอร์ และลดการพึ่งพาเทคโนโลยีจากต่างประเทศ

    เทคโนโลยี Free-Electron Laser (FEL)
    ต่างจากแหล่งกำเนิดแสง EUV แบบ Laser-Produced Plasma (LPP) ที่ใช้เลเซอร์ CO₂ ยิงไปยังดีบุกเพื่อสร้างพลาสมา FEL ใช้เครื่องเร่งอนุภาคเพื่อเร่งอิเล็กตรอน แล้วส่งผ่าน “undulators” ที่สร้างสนามแม่เหล็กเป็นระยะ ทำให้เกิดแสง EUV ที่มีความเข้มสูงและความยาวคลื่นที่แม่นยำกว่า ข้อดีคือสามารถส่งแสงไปยังเครื่องสแกนเนอร์ ASML ได้โดยตรง และรองรับการใช้งานหลายเครื่องพร้อมกัน

    ผลกระทบเชิงยุทธศาสตร์
    หาก xLight ประสบความสำเร็จ สหรัฐฯ จะมีบทบาทสำคัญในห่วงโซ่อุปทานของเครื่อง EUV lithography ซึ่งปัจจุบันถูกครองโดย ASML จากเนเธอร์แลนด์ การพัฒนา FEL อาจช่วยเพิ่มประสิทธิภาพการผลิตชิป ลดต้นทุน และสร้างความมั่นคงทางเทคโนโลยีในประเทศ

    ความท้าทายที่ยังต้องพิสูจน์
    แม้เทคโนโลยี FEL มีศักยภาพสูง แต่ยังต้องพิสูจน์ว่าใช้งานได้จริงในระดับการผลิตเชิงพาณิชย์ เนื่องจากเครื่องสแกนเนอร์ EUV มีราคาสูงถึง 200–400 ล้านดอลลาร์ต่อเครื่อง และการทดสอบต้องใช้พันธมิตรที่มีเครื่องหลายตัวเพื่อทดลองใช้งาน นอกจากนี้ บางส่วนของเทคโนโลยีอาจถูกจัดเป็นข้อมูลลับ ทำให้การส่งออกไปต่างประเทศมีข้อจำกัด

    สรุปสาระสำคัญ
    รัฐบาลสหรัฐฯ สนับสนุน xLight ด้วยเงิน 150 ล้านดอลลาร์
    ลงนาม LOI ภายใต้ CHIPS and Science Act

    xLight พัฒนา FEL-based EUV light source
    ใช้เครื่องเร่งอนุภาคแทนเลเซอร์พลาสมา

    เทคโนโลยีใหม่สามารถส่งแสงไปยังหลายเครื่องสแกนเนอร์ได้
    เพิ่มประสิทธิภาพและลดต้นทุนการผลิตชิป

    เทคโนโลยี FEL ยังไม่พิสูจน์ในระดับการผลิตจริง
    ต้องใช้เครื่อง EUV มูลค่าหลายร้อยล้านดอลลาร์ในการทดสอบ

    บางส่วนของเทคโนโลยีอาจถูกจัดเป็นข้อมูลลับ
    อาจจำกัดการส่งออกและการใช้งานในต่างประเทศ

    https://www.tomshardware.com/tech-industry/semiconductors/u-s-government-awards-gelsinger-backed-euv-developer-xlight-with-usd150-million-in-federal-incentives-company-to-develop-new-electron-based-light-source-for-lithography-tools
    💡 สหรัฐฯ หนุน xLight พัฒนาเทคโนโลยี EUV รุ่นใหม่ กระทรวงพาณิชย์สหรัฐฯ ลงนามใน Letter of Intent (LOI) เพื่อสนับสนุนเงินทุน 150 ล้านดอลลาร์แก่บริษัท xLight ที่ตั้งอยู่ใน Albany Nanotech Complex โดยมีเป้าหมายสร้างระบบ FEL-based EUV light source ซึ่งจะช่วยยกระดับการผลิตเซมิคอนดักเตอร์ และลดการพึ่งพาเทคโนโลยีจากต่างประเทศ ⚙️ เทคโนโลยี Free-Electron Laser (FEL) ต่างจากแหล่งกำเนิดแสง EUV แบบ Laser-Produced Plasma (LPP) ที่ใช้เลเซอร์ CO₂ ยิงไปยังดีบุกเพื่อสร้างพลาสมา FEL ใช้เครื่องเร่งอนุภาคเพื่อเร่งอิเล็กตรอน แล้วส่งผ่าน “undulators” ที่สร้างสนามแม่เหล็กเป็นระยะ ทำให้เกิดแสง EUV ที่มีความเข้มสูงและความยาวคลื่นที่แม่นยำกว่า ข้อดีคือสามารถส่งแสงไปยังเครื่องสแกนเนอร์ ASML ได้โดยตรง และรองรับการใช้งานหลายเครื่องพร้อมกัน 🌍 ผลกระทบเชิงยุทธศาสตร์ หาก xLight ประสบความสำเร็จ สหรัฐฯ จะมีบทบาทสำคัญในห่วงโซ่อุปทานของเครื่อง EUV lithography ซึ่งปัจจุบันถูกครองโดย ASML จากเนเธอร์แลนด์ การพัฒนา FEL อาจช่วยเพิ่มประสิทธิภาพการผลิตชิป ลดต้นทุน และสร้างความมั่นคงทางเทคโนโลยีในประเทศ 📊 ความท้าทายที่ยังต้องพิสูจน์ แม้เทคโนโลยี FEL มีศักยภาพสูง แต่ยังต้องพิสูจน์ว่าใช้งานได้จริงในระดับการผลิตเชิงพาณิชย์ เนื่องจากเครื่องสแกนเนอร์ EUV มีราคาสูงถึง 200–400 ล้านดอลลาร์ต่อเครื่อง และการทดสอบต้องใช้พันธมิตรที่มีเครื่องหลายตัวเพื่อทดลองใช้งาน นอกจากนี้ บางส่วนของเทคโนโลยีอาจถูกจัดเป็นข้อมูลลับ ทำให้การส่งออกไปต่างประเทศมีข้อจำกัด 📌 สรุปสาระสำคัญ ✅ รัฐบาลสหรัฐฯ สนับสนุน xLight ด้วยเงิน 150 ล้านดอลลาร์ ➡️ ลงนาม LOI ภายใต้ CHIPS and Science Act ✅ xLight พัฒนา FEL-based EUV light source ➡️ ใช้เครื่องเร่งอนุภาคแทนเลเซอร์พลาสมา ✅ เทคโนโลยีใหม่สามารถส่งแสงไปยังหลายเครื่องสแกนเนอร์ได้ ➡️ เพิ่มประสิทธิภาพและลดต้นทุนการผลิตชิป ‼️ เทคโนโลยี FEL ยังไม่พิสูจน์ในระดับการผลิตจริง ⛔ ต้องใช้เครื่อง EUV มูลค่าหลายร้อยล้านดอลลาร์ในการทดสอบ ‼️ บางส่วนของเทคโนโลยีอาจถูกจัดเป็นข้อมูลลับ ⛔ อาจจำกัดการส่งออกและการใช้งานในต่างประเทศ https://www.tomshardware.com/tech-industry/semiconductors/u-s-government-awards-gelsinger-backed-euv-developer-xlight-with-usd150-million-in-federal-incentives-company-to-develop-new-electron-based-light-source-for-lithography-tools
    0 Comments 0 Shares 255 Views 0 Reviews
  • 🩷 รวมข่าวจากเวบ SecurityOnline 🩷
    #รวมข่าวIT #20251202 #securityonline

    Android เจอช่องโหว่ร้ายแรง ต้องรีบอัปเดต
    Google ออก Android Security Bulletin เดือนธันวาคม 2025 ที่ทำให้หลายคนต้องรีบอัปเดตเครื่องทันที เพราะมีการยืนยันว่ามีการโจมตีจริงในโลกออนไลน์แล้ว โดยมีช่องโหว่สำคัญใน Android Framework ที่อาจทำให้เครื่องถูกสั่งให้หยุดทำงานจากระยะไกลได้โดยไม่ต้องมีสิทธิ์พิเศษใด ๆ นอกจากนี้ยังมีช่องโหว่ที่เปิดทางให้ผู้โจมตีเข้าถึงข้อมูลหรือยกระดับสิทธิ์ในเครื่องได้ โดยเฉพาะ CVE-2025-48631 ที่ถูกจัดว่าเป็น Critical DoS flaw ซึ่งสามารถทำให้เครื่องค้างหรือใช้งานไม่ได้ทันที รวมถึงยังมีปัญหาใน Kernel อย่าง PKVM และ IOMMU ที่ถ้าโดนเจาะก็อาจทะลุผ่านระบบป้องกันข้อมูลสำคัญได้ ผู้ใช้ Android จึงถูกแนะนำให้ตรวจสอบว่าเครื่องได้รับแพตช์ระดับ 2025-12-05 แล้ว เพื่อความปลอดภัยทั้งจากซอฟต์แวร์และฮาร์ดแวร์
    https://securityonline.info/android-emergency-critical-dos-flaw-and-2-exploited-zero-days-in-framework-require-immediate-patch

    nopCommerce มีช่องโหว่ยึดระบบแอดมินได้
    แพลตฟอร์มอีคอมเมิร์ซชื่อดังอย่าง nopCommerce ถูกพบช่องโหว่ใหม่ CVE-2025-11699 ที่อันตรายมาก เพราะผู้โจมตีสามารถใช้ session cookie ที่หมดอายุแล้วกลับมาใช้งานอีกครั้งเพื่อเข้าสู่ระบบในสิทธิ์แอดมินได้ เท่ากับว่าผู้โจมตีสามารถเข้าควบคุมระบบหลังบ้านได้เต็มที่ แม้ผู้ใช้จะออกจากระบบไปแล้วก็ตาม ช่องโหว่นี้ทำให้ข้อมูลลูกค้าและธุรกรรมเสี่ยงต่อการถูกเข้าถึงหรือแก้ไขโดยไม่ได้รับอนุญาต ผู้ดูแลระบบจึงควรรีบอัปเดตแพตช์และตรวจสอบการจัดการ session อย่างเข้มงวดเพื่อป้องกันการโจมตี
    https://securityonline.info/nopcommerce-flaw-cve-2025-11699-allows-admin-takeover-by-reusing-session-cookies-after-logout

    มัลแวร์รุ่นใหม่ Arkanix หลบการเข้ารหัส Chrome ได้
    มีการค้นพบมัลแวร์สายขโมยข้อมูลรุ่นใหม่ชื่อ Arkanix ที่พัฒนาให้ฉลาดขึ้นกว่าเดิม โดยมันสามารถเลี่ยงการป้องกันของ Chrome ที่ใช้ App-Bound Encryption ได้ ด้วยเทคนิคการฉีดโค้ดเข้าไปใน process ของ C++ ทำให้สามารถดึงข้อมูลที่ควรถูกเข้ารหัสออกมาได้อย่างง่ายดาย จุดนี้ถือว่าอันตรายมากเพราะ Chrome เป็นเบราว์เซอร์ที่มีผู้ใช้มหาศาล และการหลบเลี่ยงระบบเข้ารหัสได้หมายถึงข้อมูลสำคัญอย่างรหัสผ่านหรือ session อาจถูกขโมยไปโดยไม่รู้ตัว นักวิจัยเตือนว่ามัลแวร์นี้เป็น “next-gen stealer” ที่อาจถูกใช้ในแคมเปญโจมตีครั้งใหญ่ในอนาคต
    https://securityonline.info/next-gen-stealer-arkanix-bypasses-chrome-app-bound-encryption-using-c-process-injection

    ช่องโหว่ Apache Struts ทำเซิร์ฟเวอร์ล่มด้วยไฟล์ชั่วคราว
    เรื่องนี้เป็นการเตือนครั้งใหญ่จาก Apache Software Foundation เกี่ยวกับช่องโหว่ที่ชื่อว่า CVE-2025-64775 ซึ่งเกิดขึ้นจากการจัดการไฟล์ชั่วคราวที่ผิดพลาดในกระบวนการอัปโหลดไฟล์ของ Struts framework เมื่อผู้โจมตีส่งคำขอแบบ multipart จำนวนมาก ไฟล์ชั่วคราวที่ควรถูกลบกลับถูกทิ้งไว้ ทำให้พื้นที่ดิสก์เต็มไปเรื่อย ๆ จนระบบไม่สามารถทำงานต่อได้ กลายเป็นการโจมตีแบบ Denial of Service ถึงแม้จะไม่ใช่การรันโค้ดจากระยะไกล แต่ก็สามารถทำให้บริการสำคัญหยุดชะงักได้ง่ายมาก ทางออกคือผู้ใช้ต้องรีบอัปเดตไปยังเวอร์ชันที่ถูกแก้ไขแล้วคือ Struts 6.8.0 และ 7.1.1
    https://securityonline.info/cve-2025-64775-apache-struts-file-leak-vulnerability-threatens-disk-exhaustion

    APT36 หันเป้าโจมตี Linux ด้วยทางลัดเงียบ
    กลุ่มแฮกเกอร์ที่มีชื่อเสียง APT36 หรือ Transparent Tribe ซึ่งเคยโจมตีระบบ Windows มานาน ตอนนี้ได้พัฒนาเครื่องมือใหม่เพื่อเจาะระบบ Linux โดยเฉพาะ BOSS Linux ที่ใช้ในหน่วยงานรัฐบาลอินเดีย พวกเขาส่งอีเมลฟิชชิ่งที่แนบไฟล์ .desktop ปลอมให้ดูเหมือนเอกสารจริง แต่เมื่อเปิดขึ้นมา มัลแวร์จะถูกติดตั้งอย่างเงียบ ๆ พร้อมสร้างความคงอยู่ในระบบโดยไม่ต้องใช้สิทธิ์ root จุดเด่นคือเป็น Remote Administration Tool ที่ทำงานได้ทั้ง Windows และ Linux สามารถสั่งรันคำสั่ง ดูดข้อมูล และจับภาพหน้าจอได้ การขยายเป้าหมายไปยัง Linux ถือเป็นการยกระดับครั้งสำคัญของกลุ่มนี้
    https://securityonline.info/the-boss-breach-apt36-pivots-to-linux-espionage-with-silent-shortcuts

    Albiriox มัลแวร์ Android แบบบริการเช่า
    นักวิจัยพบมัลแวร์ใหม่ชื่อ Albiriox ที่ถูกพัฒนาเป็น Malware-as-a-Service โดยกลุ่มผู้พูดภาษารัสเซีย เปิดให้เช่าใช้เดือนละราว 650–720 ดอลลาร์ จุดแข็งคือสามารถทำ On-Device Fraud ได้ หมายถึงการทำธุรกรรมหลอกลวงจากเครื่องของเหยื่อเองเพื่อหลบเลี่ยงระบบตรวจสอบของธนาคาร ฟีเจอร์เด่นคือ AcVNC ที่สามารถควบคุมหน้าจอแม้แอปธนาคารจะพยายามบล็อกการบันทึกหน้าจอ แคมเปญแรกเริ่มโจมตีผู้ใช้ในออสเตรียผ่านแอป Penny Market ปลอม แต่จริง ๆ แล้วมีรายชื่อเป้าหมายกว่า 400 แอปธนาคารและคริปโตทั่วโลก ถือเป็นการยกระดับภัยคุกคามบนมือถืออย่างชัดเจน
    https://securityonline.info/albiriox-the-russian-maas-android-trojan-redefining-mobile-fraud

    ศึกชิปขั้นสูง Apple ปะทะ NVIDIA
    ในโลกเซมิคอนดักเตอร์ตอนนี้ Apple และ NVIDIA กำลังแย่งชิงกำลังการผลิตขั้นสูงของ TSMC โดยเฉพาะกระบวนการ A16 และ A14 ที่ถือเป็นระดับ angstrom-class ซึ่งมีความสำคัญต่อการผลิตชิปประสิทธิภาพสูง ทั้งสองบริษัทต่างต้องการพื้นที่ผลิตที่จำกัดนี้เพื่อรองรับความต้องการด้าน AI ที่พุ่งสูงขึ้น ขณะเดียวกัน Apple ยังมองหาทางเลือกใหม่โดยอาจร่วมมือกับ Intel ในกระบวนการ 18AP สำหรับชิประดับเริ่มต้น เพื่อกระจายความเสี่ยงและเพิ่มอำนาจต่อรองกับ TSMC การแข่งขันนี้สะท้อนให้เห็นว่าชิปขั้นสูงได้กลายเป็นสมรภูมิที่ดุเดือดระหว่างยักษ์ใหญ่เทคโนโลยี
    https://securityonline.info/semiconductor-showdown-apple-and-nvidia-battle-for-tsmcs-a16-capacity

    OpenAI เริ่มทดลองโฆษณาใน ChatGPT
    แม้ ChatGPT จะมีผู้ใช้มหาศาล แต่ OpenAI ก็ยังขาดทุนหนัก โดยคาดว่าจะสะสมการขาดทุนถึง 115 พันล้านดอลลาร์ก่อนจะเริ่มมีกำไร นักพัฒนาพบโค้ดที่เกี่ยวข้องกับโฆษณาในแอป ChatGPT บน Android ซึ่งบ่งชี้ว่าบริษัทกำลังทดลองโมเดลรายได้ใหม่ผ่านการแสดงโฆษณาในคำตอบ โดยเฉพาะโฆษณาแบบค้นหา (Search Ads) ที่ฝังลิงก์สปอนเซอร์ในผลลัพธ์ หากเปิดใช้งานจริงจะเป็นแหล่งรายได้มหาศาลเสริมจากค่าสมาชิกและ API การเคลื่อนไหวนี้สะท้อนถึงความพยายามของ OpenAI ที่จะหาทางออกจากภาระขาดทุนมหาศาล
    https://securityonline.info/chatgpt-ads-spotted-monetization-push-underway-to-offset-115-billion-in-openai-losses

    BreachLock ครองแชมป์ PTaaS ต่อเนื่อง
    BreachLock ได้รับการจัดอันดับจากรายงาน GigaOm Radar ปี 2025 ให้เป็นผู้นำด้านบริการ Penetration Testing as a Service (PTaaS) ต่อเนื่องเป็นปีที่สาม จุดเด่นของบริษัทคือการผสมผสานการทดสอบเจาะระบบแบบอัตโนมัติและการตรวจสอบโดยผู้เชี่ยวชาญ ทำให้ลูกค้าได้รับผลลัพธ์ที่รวดเร็วและแม่นยำ พร้อมทั้งสามารถปรับขนาดการทดสอบให้เหมาะสมกับองค์กรทุกระดับ การได้รับการยอมรับซ้ำ ๆ แสดงถึงความแข็งแกร่งและความน่าเชื่อถือในตลาดที่กำลังเติบโตอย่างรวดเร็ว
    https://securityonline.info/breachlock-named-a-leader-in-2025-gigaom-radar-report-for-penetration-testing-as-a-service-ptaas-for-third-consecutive-year

    ช่องโหว่ร้ายแรงใน Snapdragon และโมเด็ม 5G
    พบช่องโหว่ใหม่ CVE-2025-47372 ที่กระทบ Snapdragon 8 Gen 3 และโมเด็ม 5G โดยปัญหานี้เกิดขึ้นในกระบวนการบูต ทำให้ผู้โจมตีสามารถเข้าถึงสิทธิ์ระดับสูงตั้งแต่เริ่มต้นระบบ ผลกระทบคืออุปกรณ์อาจถูกควบคุมหรือทำงานผิดพลาดตั้งแต่เปิดเครื่อง ถือเป็นภัยร้ายแรงเพราะเกี่ยวข้องกับชิปที่ใช้กันอย่างแพร่หลายทั้งในสมาร์ทโฟนและอุปกรณ์เครือข่าย การแก้ไขคือผู้ผลิตต้องรีบอัปเดตเฟิร์มแวร์เพื่ออุดช่องโหว่ก่อนที่จะถูกนำไปใช้โจมตีจริง
    https://securityonline.info/boot-process-compromised-critical-flaw-cve-2025-47372-hits-snapdragon-8-gen-3-5g-modems

    Kevin Lancaster เข้าร่วมบอร์ด usecure Kevin Lancaster
    ผู้เชี่ยวชาญด้านการพัฒนาช่องทางธุรกิจ ได้เข้าร่วมบอร์ดของบริษัท usecure เพื่อช่วยเร่งการเติบโตในตลาดอเมริกาเหนือ Lancaster มีประสบการณ์ยาวนานในการสร้างเครือข่ายพันธมิตรและการขยายธุรกิจด้านความปลอดภัยไซเบอร์ การเข้ามาของเขาถือเป็นการเสริมกำลังสำคัญให้ usecure สามารถขยายฐานลูกค้าและเพิ่มความแข็งแกร่งในการแข่งขันในภูมิภาคนี้
    https://securityonline.info/kevin-lancaster-joins-the-usecure-board-to-accelerate-north-american-channel-growth

    ช่องโหว่ Windows EoP พร้อม PoC
    มีการเผยแพร่โค้ดทดสอบการโจมตี (PoC) สำหรับช่องโหว่ CVE-2025-60718 ที่เกี่ยวข้องกับ Windows Administrator Protection ซึ่งเป็นช่องโหว่ Elevation of Privilege ทำให้ผู้โจมตีสามารถยกระดับสิทธิ์ในระบบได้ ช่องโหว่นี้ถือว่าอันตรายเพราะสามารถใช้เป็นขั้นตอนหนึ่งในการเข้าควบคุมระบบทั้งหมดได้ การที่ PoC ถูกปล่อยออกมาแล้วทำให้ความเสี่ยงเพิ่มขึ้นอย่างมาก ผู้ใช้และผู้ดูแลระบบควรเร่งอัปเดตแพตช์ทันที
    https://securityonline.info/poc-exploit-releases-for-cve-2025-60718-windows-administrator-protection-elevation-of-privilege-vulnerability

    OpenVPN อุดช่องโหว่ร้ายแรง
    OpenVPN ได้ออกแพตช์แก้ไขช่องโหว่สำคัญสองรายการ ได้แก่ Heap Over-Read ที่มีคะแนน CVSS 9.1 และช่องโหว่ HMAC Bypass ซึ่งสามารถทำให้เกิดการโจมตีแบบ DoS ได้ ช่องโหว่เหล่านี้หากถูกนำไปใช้จะทำให้การเชื่อมต่อ VPN ไม่ปลอดภัยและอาจถูกโจมตีจนระบบล่ม การอัปเดตเวอร์ชันล่าสุดจึงเป็นสิ่งจำเป็นสำหรับผู้ใช้งานทุกคนเพื่อป้องกันการโจมตีที่อาจเกิดขึ้น
    https://securityonline.info/critical-openvpn-flaws-fix-heap-over-read-cvss-9-1-and-hmac-bypass-allow-dos-attacks
    📌🔐🩷 รวมข่าวจากเวบ SecurityOnline 🩷🔐📌 #รวมข่าวIT #20251202 #securityonline 🛡️ Android เจอช่องโหว่ร้ายแรง ต้องรีบอัปเดต Google ออก Android Security Bulletin เดือนธันวาคม 2025 ที่ทำให้หลายคนต้องรีบอัปเดตเครื่องทันที เพราะมีการยืนยันว่ามีการโจมตีจริงในโลกออนไลน์แล้ว โดยมีช่องโหว่สำคัญใน Android Framework ที่อาจทำให้เครื่องถูกสั่งให้หยุดทำงานจากระยะไกลได้โดยไม่ต้องมีสิทธิ์พิเศษใด ๆ นอกจากนี้ยังมีช่องโหว่ที่เปิดทางให้ผู้โจมตีเข้าถึงข้อมูลหรือยกระดับสิทธิ์ในเครื่องได้ โดยเฉพาะ CVE-2025-48631 ที่ถูกจัดว่าเป็น Critical DoS flaw ซึ่งสามารถทำให้เครื่องค้างหรือใช้งานไม่ได้ทันที รวมถึงยังมีปัญหาใน Kernel อย่าง PKVM และ IOMMU ที่ถ้าโดนเจาะก็อาจทะลุผ่านระบบป้องกันข้อมูลสำคัญได้ ผู้ใช้ Android จึงถูกแนะนำให้ตรวจสอบว่าเครื่องได้รับแพตช์ระดับ 2025-12-05 แล้ว เพื่อความปลอดภัยทั้งจากซอฟต์แวร์และฮาร์ดแวร์ 🔗 https://securityonline.info/android-emergency-critical-dos-flaw-and-2-exploited-zero-days-in-framework-require-immediate-patch ⚠️ nopCommerce มีช่องโหว่ยึดระบบแอดมินได้ แพลตฟอร์มอีคอมเมิร์ซชื่อดังอย่าง nopCommerce ถูกพบช่องโหว่ใหม่ CVE-2025-11699 ที่อันตรายมาก เพราะผู้โจมตีสามารถใช้ session cookie ที่หมดอายุแล้วกลับมาใช้งานอีกครั้งเพื่อเข้าสู่ระบบในสิทธิ์แอดมินได้ เท่ากับว่าผู้โจมตีสามารถเข้าควบคุมระบบหลังบ้านได้เต็มที่ แม้ผู้ใช้จะออกจากระบบไปแล้วก็ตาม ช่องโหว่นี้ทำให้ข้อมูลลูกค้าและธุรกรรมเสี่ยงต่อการถูกเข้าถึงหรือแก้ไขโดยไม่ได้รับอนุญาต ผู้ดูแลระบบจึงควรรีบอัปเดตแพตช์และตรวจสอบการจัดการ session อย่างเข้มงวดเพื่อป้องกันการโจมตี 🔗 https://securityonline.info/nopcommerce-flaw-cve-2025-11699-allows-admin-takeover-by-reusing-session-cookies-after-logout 🕵️‍♂️ มัลแวร์รุ่นใหม่ Arkanix หลบการเข้ารหัส Chrome ได้ มีการค้นพบมัลแวร์สายขโมยข้อมูลรุ่นใหม่ชื่อ Arkanix ที่พัฒนาให้ฉลาดขึ้นกว่าเดิม โดยมันสามารถเลี่ยงการป้องกันของ Chrome ที่ใช้ App-Bound Encryption ได้ ด้วยเทคนิคการฉีดโค้ดเข้าไปใน process ของ C++ ทำให้สามารถดึงข้อมูลที่ควรถูกเข้ารหัสออกมาได้อย่างง่ายดาย จุดนี้ถือว่าอันตรายมากเพราะ Chrome เป็นเบราว์เซอร์ที่มีผู้ใช้มหาศาล และการหลบเลี่ยงระบบเข้ารหัสได้หมายถึงข้อมูลสำคัญอย่างรหัสผ่านหรือ session อาจถูกขโมยไปโดยไม่รู้ตัว นักวิจัยเตือนว่ามัลแวร์นี้เป็น “next-gen stealer” ที่อาจถูกใช้ในแคมเปญโจมตีครั้งใหญ่ในอนาคต 🔗 https://securityonline.info/next-gen-stealer-arkanix-bypasses-chrome-app-bound-encryption-using-c-process-injection 🖥️ ช่องโหว่ Apache Struts ทำเซิร์ฟเวอร์ล่มด้วยไฟล์ชั่วคราว เรื่องนี้เป็นการเตือนครั้งใหญ่จาก Apache Software Foundation เกี่ยวกับช่องโหว่ที่ชื่อว่า CVE-2025-64775 ซึ่งเกิดขึ้นจากการจัดการไฟล์ชั่วคราวที่ผิดพลาดในกระบวนการอัปโหลดไฟล์ของ Struts framework เมื่อผู้โจมตีส่งคำขอแบบ multipart จำนวนมาก ไฟล์ชั่วคราวที่ควรถูกลบกลับถูกทิ้งไว้ ทำให้พื้นที่ดิสก์เต็มไปเรื่อย ๆ จนระบบไม่สามารถทำงานต่อได้ กลายเป็นการโจมตีแบบ Denial of Service ถึงแม้จะไม่ใช่การรันโค้ดจากระยะไกล แต่ก็สามารถทำให้บริการสำคัญหยุดชะงักได้ง่ายมาก ทางออกคือผู้ใช้ต้องรีบอัปเดตไปยังเวอร์ชันที่ถูกแก้ไขแล้วคือ Struts 6.8.0 และ 7.1.1 🔗 https://securityonline.info/cve-2025-64775-apache-struts-file-leak-vulnerability-threatens-disk-exhaustion 🐧 APT36 หันเป้าโจมตี Linux ด้วยทางลัดเงียบ กลุ่มแฮกเกอร์ที่มีชื่อเสียง APT36 หรือ Transparent Tribe ซึ่งเคยโจมตีระบบ Windows มานาน ตอนนี้ได้พัฒนาเครื่องมือใหม่เพื่อเจาะระบบ Linux โดยเฉพาะ BOSS Linux ที่ใช้ในหน่วยงานรัฐบาลอินเดีย พวกเขาส่งอีเมลฟิชชิ่งที่แนบไฟล์ .desktop ปลอมให้ดูเหมือนเอกสารจริง แต่เมื่อเปิดขึ้นมา มัลแวร์จะถูกติดตั้งอย่างเงียบ ๆ พร้อมสร้างความคงอยู่ในระบบโดยไม่ต้องใช้สิทธิ์ root จุดเด่นคือเป็น Remote Administration Tool ที่ทำงานได้ทั้ง Windows และ Linux สามารถสั่งรันคำสั่ง ดูดข้อมูล และจับภาพหน้าจอได้ การขยายเป้าหมายไปยัง Linux ถือเป็นการยกระดับครั้งสำคัญของกลุ่มนี้ 🔗 https://securityonline.info/the-boss-breach-apt36-pivots-to-linux-espionage-with-silent-shortcuts 📱 Albiriox มัลแวร์ Android แบบบริการเช่า นักวิจัยพบมัลแวร์ใหม่ชื่อ Albiriox ที่ถูกพัฒนาเป็น Malware-as-a-Service โดยกลุ่มผู้พูดภาษารัสเซีย เปิดให้เช่าใช้เดือนละราว 650–720 ดอลลาร์ จุดแข็งคือสามารถทำ On-Device Fraud ได้ หมายถึงการทำธุรกรรมหลอกลวงจากเครื่องของเหยื่อเองเพื่อหลบเลี่ยงระบบตรวจสอบของธนาคาร ฟีเจอร์เด่นคือ AcVNC ที่สามารถควบคุมหน้าจอแม้แอปธนาคารจะพยายามบล็อกการบันทึกหน้าจอ แคมเปญแรกเริ่มโจมตีผู้ใช้ในออสเตรียผ่านแอป Penny Market ปลอม แต่จริง ๆ แล้วมีรายชื่อเป้าหมายกว่า 400 แอปธนาคารและคริปโตทั่วโลก ถือเป็นการยกระดับภัยคุกคามบนมือถืออย่างชัดเจน 🔗 https://securityonline.info/albiriox-the-russian-maas-android-trojan-redefining-mobile-fraud ⚙️ ศึกชิปขั้นสูง Apple ปะทะ NVIDIA ในโลกเซมิคอนดักเตอร์ตอนนี้ Apple และ NVIDIA กำลังแย่งชิงกำลังการผลิตขั้นสูงของ TSMC โดยเฉพาะกระบวนการ A16 และ A14 ที่ถือเป็นระดับ angstrom-class ซึ่งมีความสำคัญต่อการผลิตชิปประสิทธิภาพสูง ทั้งสองบริษัทต่างต้องการพื้นที่ผลิตที่จำกัดนี้เพื่อรองรับความต้องการด้าน AI ที่พุ่งสูงขึ้น ขณะเดียวกัน Apple ยังมองหาทางเลือกใหม่โดยอาจร่วมมือกับ Intel ในกระบวนการ 18AP สำหรับชิประดับเริ่มต้น เพื่อกระจายความเสี่ยงและเพิ่มอำนาจต่อรองกับ TSMC การแข่งขันนี้สะท้อนให้เห็นว่าชิปขั้นสูงได้กลายเป็นสมรภูมิที่ดุเดือดระหว่างยักษ์ใหญ่เทคโนโลยี 🔗 https://securityonline.info/semiconductor-showdown-apple-and-nvidia-battle-for-tsmcs-a16-capacity 💰 OpenAI เริ่มทดลองโฆษณาใน ChatGPT แม้ ChatGPT จะมีผู้ใช้มหาศาล แต่ OpenAI ก็ยังขาดทุนหนัก โดยคาดว่าจะสะสมการขาดทุนถึง 115 พันล้านดอลลาร์ก่อนจะเริ่มมีกำไร นักพัฒนาพบโค้ดที่เกี่ยวข้องกับโฆษณาในแอป ChatGPT บน Android ซึ่งบ่งชี้ว่าบริษัทกำลังทดลองโมเดลรายได้ใหม่ผ่านการแสดงโฆษณาในคำตอบ โดยเฉพาะโฆษณาแบบค้นหา (Search Ads) ที่ฝังลิงก์สปอนเซอร์ในผลลัพธ์ หากเปิดใช้งานจริงจะเป็นแหล่งรายได้มหาศาลเสริมจากค่าสมาชิกและ API การเคลื่อนไหวนี้สะท้อนถึงความพยายามของ OpenAI ที่จะหาทางออกจากภาระขาดทุนมหาศาล 🔗 https://securityonline.info/chatgpt-ads-spotted-monetization-push-underway-to-offset-115-billion-in-openai-losses 🛡️ BreachLock ครองแชมป์ PTaaS ต่อเนื่อง BreachLock ได้รับการจัดอันดับจากรายงาน GigaOm Radar ปี 2025 ให้เป็นผู้นำด้านบริการ Penetration Testing as a Service (PTaaS) ต่อเนื่องเป็นปีที่สาม จุดเด่นของบริษัทคือการผสมผสานการทดสอบเจาะระบบแบบอัตโนมัติและการตรวจสอบโดยผู้เชี่ยวชาญ ทำให้ลูกค้าได้รับผลลัพธ์ที่รวดเร็วและแม่นยำ พร้อมทั้งสามารถปรับขนาดการทดสอบให้เหมาะสมกับองค์กรทุกระดับ การได้รับการยอมรับซ้ำ ๆ แสดงถึงความแข็งแกร่งและความน่าเชื่อถือในตลาดที่กำลังเติบโตอย่างรวดเร็ว 🔗 https://securityonline.info/breachlock-named-a-leader-in-2025-gigaom-radar-report-for-penetration-testing-as-a-service-ptaas-for-third-consecutive-year 📶 ช่องโหว่ร้ายแรงใน Snapdragon และโมเด็ม 5G พบช่องโหว่ใหม่ CVE-2025-47372 ที่กระทบ Snapdragon 8 Gen 3 และโมเด็ม 5G โดยปัญหานี้เกิดขึ้นในกระบวนการบูต ทำให้ผู้โจมตีสามารถเข้าถึงสิทธิ์ระดับสูงตั้งแต่เริ่มต้นระบบ ผลกระทบคืออุปกรณ์อาจถูกควบคุมหรือทำงานผิดพลาดตั้งแต่เปิดเครื่อง ถือเป็นภัยร้ายแรงเพราะเกี่ยวข้องกับชิปที่ใช้กันอย่างแพร่หลายทั้งในสมาร์ทโฟนและอุปกรณ์เครือข่าย การแก้ไขคือผู้ผลิตต้องรีบอัปเดตเฟิร์มแวร์เพื่ออุดช่องโหว่ก่อนที่จะถูกนำไปใช้โจมตีจริง 🔗 https://securityonline.info/boot-process-compromised-critical-flaw-cve-2025-47372-hits-snapdragon-8-gen-3-5g-modems 🤝 Kevin Lancaster เข้าร่วมบอร์ด usecure Kevin Lancaster ผู้เชี่ยวชาญด้านการพัฒนาช่องทางธุรกิจ ได้เข้าร่วมบอร์ดของบริษัท usecure เพื่อช่วยเร่งการเติบโตในตลาดอเมริกาเหนือ Lancaster มีประสบการณ์ยาวนานในการสร้างเครือข่ายพันธมิตรและการขยายธุรกิจด้านความปลอดภัยไซเบอร์ การเข้ามาของเขาถือเป็นการเสริมกำลังสำคัญให้ usecure สามารถขยายฐานลูกค้าและเพิ่มความแข็งแกร่งในการแข่งขันในภูมิภาคนี้ 🔗 https://securityonline.info/kevin-lancaster-joins-the-usecure-board-to-accelerate-north-american-channel-growth 🪟 ช่องโหว่ Windows EoP พร้อม PoC มีการเผยแพร่โค้ดทดสอบการโจมตี (PoC) สำหรับช่องโหว่ CVE-2025-60718 ที่เกี่ยวข้องกับ Windows Administrator Protection ซึ่งเป็นช่องโหว่ Elevation of Privilege ทำให้ผู้โจมตีสามารถยกระดับสิทธิ์ในระบบได้ ช่องโหว่นี้ถือว่าอันตรายเพราะสามารถใช้เป็นขั้นตอนหนึ่งในการเข้าควบคุมระบบทั้งหมดได้ การที่ PoC ถูกปล่อยออกมาแล้วทำให้ความเสี่ยงเพิ่มขึ้นอย่างมาก ผู้ใช้และผู้ดูแลระบบควรเร่งอัปเดตแพตช์ทันที 🔗 https://securityonline.info/poc-exploit-releases-for-cve-2025-60718-windows-administrator-protection-elevation-of-privilege-vulnerability 🔐 OpenVPN อุดช่องโหว่ร้ายแรง OpenVPN ได้ออกแพตช์แก้ไขช่องโหว่สำคัญสองรายการ ได้แก่ Heap Over-Read ที่มีคะแนน CVSS 9.1 และช่องโหว่ HMAC Bypass ซึ่งสามารถทำให้เกิดการโจมตีแบบ DoS ได้ ช่องโหว่เหล่านี้หากถูกนำไปใช้จะทำให้การเชื่อมต่อ VPN ไม่ปลอดภัยและอาจถูกโจมตีจนระบบล่ม การอัปเดตเวอร์ชันล่าสุดจึงเป็นสิ่งจำเป็นสำหรับผู้ใช้งานทุกคนเพื่อป้องกันการโจมตีที่อาจเกิดขึ้น 🔗 https://securityonline.info/critical-openvpn-flaws-fix-heap-over-read-cvss-9-1-and-hmac-bypass-allow-dos-attacks
    0 Comments 0 Shares 628 Views 0 Reviews
  • MediaTek ร่วมพัฒนา Google TPU v7 เพื่อยกระดับ Dimensity 9600

    MediaTek ได้เข้าร่วมในโครงการพัฒนา Google TPU v7 Ironwood ซึ่งถูกมองว่าเป็นคู่แข่งโดยตรงกับ NVIDIA Blackwell GPUs โดย MediaTek มีบทบาทสำคัญในการออกแบบ I/O modules ของ TPU รุ่นนี้ เพื่อให้การสื่อสารระหว่างโปรเซสเซอร์และอุปกรณ์รอบข้างมีประสิทธิภาพสูงขึ้น การมีส่วนร่วมครั้งนี้ไม่เพียงสร้างรายได้มหาศาลให้กับ MediaTek แต่ยังเปิดโอกาสให้บริษัทนำประสบการณ์ไปปรับใช้กับชิปสมาร์ทโฟนรุ่นใหม่อย่าง Dimensity 9600

    สถาปัตยกรรมของ TPU v7 ใช้ dual-chiplet design ที่ประกอบด้วย TensorCore, Vector Processing Unit (VPU), Matrix Multiply Unit (MXU) และ SparseCores พร้อมหน่วยความจำ HBM ขนาด 96GB เชื่อมต่อกันด้วย die-to-die interconnect ที่เร็วกว่าเดิมถึง 6 เท่า และสามารถขยายเป็นระบบ superpod ที่มีมากกว่า 9,000 ชิปเพื่อรองรับงาน AI ขนาดใหญ่

    สำหรับ Dimensity 9600 แม้จะเป็น Application Processor (AP) ที่แตกต่างจาก ASIC อย่าง TPU แต่ MediaTek สามารถนำแนวคิดจากการทำงานร่วมกับ Google มาปรับใช้ เช่น กลยุทธ์ power gating ที่มีประสิทธิภาพมากขึ้น, การปรับปรุง voltage scaling และ การจัดการ clock-gating เพื่อยืดอายุแบตเตอรี่และลดการใช้พลังงาน ซึ่งถือเป็นการยกระดับชิปมือถือให้แข่งขันได้ในตลาดที่เน้น AI และประสิทธิภาพพลังงาน

    การร่วมมือครั้งนี้ยังสะท้อนถึงการเปลี่ยนแปลงในตลาดเซมิคอนดักเตอร์ ที่บริษัทผู้ผลิตสมาร์ทโฟนเริ่มมีบทบาทในโครงสร้างพื้นฐาน AI ขนาดใหญ่ ซึ่งอาจทำให้ MediaTek ก้าวขึ้นมาเป็นผู้เล่นสำคัญในตลาด AI chips ในอนาคต

    สรุปประเด็นสำคัญ
    MediaTek มีบทบาทใน Google TPU v7 Ironwood
    ออกแบบ I/O modules เพื่อเพิ่มประสิทธิภาพการสื่อสาร
    คาดว่าจะสร้างรายได้กว่า 4 พันล้านดอลลาร์

    สถาปัตยกรรม TPU v7 ที่ล้ำสมัย
    Dual-chiplet design พร้อม TensorCore, VPU, MXU และ SparseCores
    ใช้ HBM 96GB และ interconnect ที่เร็วกว่าเดิม 6 เท่า

    ผลต่อ Dimensity 9600
    ปรับปรุง power gating และ voltage scaling
    clock-gating ที่ดีขึ้นเพื่อยืดอายุแบตเตอรี่

    ข้อควรระวังและความท้าทาย
    ASIC และ AP มีโครงสร้างต่างกัน ทำให้ไม่สามารถนำประสบการณ์มาใช้ได้ทั้งหมด
    การแข่งขันกับ NVIDIA และ Qualcomm ในตลาด AI chips ยังเข้มข้น
    การพัฒนาเทคโนโลยีใหม่อาจเพิ่มต้นทุนการผลิตและความเสี่ยงด้านซัพพลายเชน

    https://wccftech.com/mediateks-work-on-the-google-tpu-v7-to-boost-dimensity-9600s-efficiency/
    ⚙️ MediaTek ร่วมพัฒนา Google TPU v7 เพื่อยกระดับ Dimensity 9600 MediaTek ได้เข้าร่วมในโครงการพัฒนา Google TPU v7 Ironwood ซึ่งถูกมองว่าเป็นคู่แข่งโดยตรงกับ NVIDIA Blackwell GPUs โดย MediaTek มีบทบาทสำคัญในการออกแบบ I/O modules ของ TPU รุ่นนี้ เพื่อให้การสื่อสารระหว่างโปรเซสเซอร์และอุปกรณ์รอบข้างมีประสิทธิภาพสูงขึ้น การมีส่วนร่วมครั้งนี้ไม่เพียงสร้างรายได้มหาศาลให้กับ MediaTek แต่ยังเปิดโอกาสให้บริษัทนำประสบการณ์ไปปรับใช้กับชิปสมาร์ทโฟนรุ่นใหม่อย่าง Dimensity 9600 สถาปัตยกรรมของ TPU v7 ใช้ dual-chiplet design ที่ประกอบด้วย TensorCore, Vector Processing Unit (VPU), Matrix Multiply Unit (MXU) และ SparseCores พร้อมหน่วยความจำ HBM ขนาด 96GB เชื่อมต่อกันด้วย die-to-die interconnect ที่เร็วกว่าเดิมถึง 6 เท่า และสามารถขยายเป็นระบบ superpod ที่มีมากกว่า 9,000 ชิปเพื่อรองรับงาน AI ขนาดใหญ่ สำหรับ Dimensity 9600 แม้จะเป็น Application Processor (AP) ที่แตกต่างจาก ASIC อย่าง TPU แต่ MediaTek สามารถนำแนวคิดจากการทำงานร่วมกับ Google มาปรับใช้ เช่น กลยุทธ์ power gating ที่มีประสิทธิภาพมากขึ้น, การปรับปรุง voltage scaling และ การจัดการ clock-gating เพื่อยืดอายุแบตเตอรี่และลดการใช้พลังงาน ซึ่งถือเป็นการยกระดับชิปมือถือให้แข่งขันได้ในตลาดที่เน้น AI และประสิทธิภาพพลังงาน การร่วมมือครั้งนี้ยังสะท้อนถึงการเปลี่ยนแปลงในตลาดเซมิคอนดักเตอร์ ที่บริษัทผู้ผลิตสมาร์ทโฟนเริ่มมีบทบาทในโครงสร้างพื้นฐาน AI ขนาดใหญ่ ซึ่งอาจทำให้ MediaTek ก้าวขึ้นมาเป็นผู้เล่นสำคัญในตลาด AI chips ในอนาคต 📌 สรุปประเด็นสำคัญ ✅ MediaTek มีบทบาทใน Google TPU v7 Ironwood ➡️ ออกแบบ I/O modules เพื่อเพิ่มประสิทธิภาพการสื่อสาร ➡️ คาดว่าจะสร้างรายได้กว่า 4 พันล้านดอลลาร์ ✅ สถาปัตยกรรม TPU v7 ที่ล้ำสมัย ➡️ Dual-chiplet design พร้อม TensorCore, VPU, MXU และ SparseCores ➡️ ใช้ HBM 96GB และ interconnect ที่เร็วกว่าเดิม 6 เท่า ✅ ผลต่อ Dimensity 9600 ➡️ ปรับปรุง power gating และ voltage scaling ➡️ clock-gating ที่ดีขึ้นเพื่อยืดอายุแบตเตอรี่ ‼️ ข้อควรระวังและความท้าทาย ⛔ ASIC และ AP มีโครงสร้างต่างกัน ทำให้ไม่สามารถนำประสบการณ์มาใช้ได้ทั้งหมด ⛔ การแข่งขันกับ NVIDIA และ Qualcomm ในตลาด AI chips ยังเข้มข้น ⛔ การพัฒนาเทคโนโลยีใหม่อาจเพิ่มต้นทุนการผลิตและความเสี่ยงด้านซัพพลายเชน https://wccftech.com/mediateks-work-on-the-google-tpu-v7-to-boost-dimensity-9600s-efficiency/
    WCCFTECH.COM
    MediaTek Dimensity 9600: Google's TPU v7 Partnership Unlocks Next-Gen Efficiency
    MediaTek won't be able to use all of its TPU v7 Ironwood experience on the Dimensity 9600, but can still use the know-how to make a difference.
    0 Comments 0 Shares 239 Views 0 Reviews
  • Samsung พัฒนา NAND รุ่นใหม่ ลดพลังงานได้ 96%

    Samsung ได้ตีพิมพ์งานวิจัยในวารสาร Nature เกี่ยวกับสถาปัตยกรรม NAND แบบใหม่ที่ใช้ Ferroelectric Field-Effect Transistor (FeFET) โดยผสมผสานวัสดุ hafnia-based ferroelectric เข้ากับช่องสัญญาณออกไซด์เซมิคอนดักเตอร์ จุดเด่นคือการทำงานที่ near-zero pass voltage ซึ่งช่วยลดพลังงานที่ใช้ในการอ่านและเขียนข้อมูลได้อย่างมหาศาล

    ใน NAND แบบดั้งเดิม การเพิ่มจำนวนเลเยอร์ทำให้ต้องใช้แรงดันไฟฟ้า (Vpass) สูงขึ้นเพื่อป้องกันการรบกวนข้อมูล แต่สถาปัตยกรรมใหม่ของ Samsung สามารถทำงานได้โดยไม่ต้องใช้แรงดันสูง ทำให้ลดภาระของวงจร charge pump และลดการใช้พลังงานรวมได้ถึง 94–96% ในอุปกรณ์ที่มี 286–1024 เลเยอร์

    นักวิจัยได้ทดสอบทั้งในรูปแบบ planar arrays และโครงสร้าง 3D NAND ขนาดเล็ก พบว่าสามารถรองรับการเขียนข้อมูลได้ถึง 5 บิตต่อเซลล์ (PLC-class) แม้ความทนทานยังจำกัดอยู่ที่หลักร้อยถึงพันรอบการเขียน แต่ถือเป็นการพิสูจน์ศักยภาพของเทคโนโลยีนี้สำหรับอนาคต

    แม้ยังไม่มีแผนผลิตเชิงพาณิชย์ในตอนนี้ แต่ Samsung มองว่านี่คือ งานวิจัยพื้นฐาน ที่จะนำไปสู่ NAND รุ่นใหม่ที่ใช้พลังงานต่ำและมีความหนาแน่นสูง เหมาะสำหรับการใช้งานใน AI accelerators, ดาต้าเซ็นเตอร์ และอุปกรณ์ความจุสูง ที่ต้องการประสิทธิภาพและความยั่งยืนด้านพลังงาน

    สรุปประเด็นสำคัญ
    สถาปัตยกรรม NAND แบบใหม่จาก Samsung
    ใช้ Ferroelectric Field-Effect Transistor (FeFET)
    ทำงานที่ near-zero pass voltage ลดพลังงาน

    ประสิทธิภาพที่เหนือกว่า NAND เดิม
    ลดการใช้พลังงานได้ 94–96% ในอุปกรณ์ 286–1024 เลเยอร์
    รองรับการเขียนข้อมูลได้ถึง 5 บิตต่อเซลล์

    การทดสอบและผลลัพธ์
    ทดสอบทั้ง planar arrays และโครงสร้าง 3D NAND
    ความทนทานอยู่ที่หลักร้อยถึงพันรอบการเขียน

    ข้อควรระวังและความท้าทาย
    ความทนทานของเซลล์ยังต่ำเมื่อเทียบกับ NAND เชิงพาณิชย์
    ต้องพัฒนาระบบ program-inhibit และ negative-voltage generation เพิ่มเติม
    พฤติกรรมของช่องสัญญาณออกไซด์ภายใต้ความร้อนสูงยังต้องศึกษาเพิ่มเติม

    https://www.tomshardware.com/tech-industry/semiconductors/samsung-researchers-publish-96percent-lower-power-nand-design-based-on-ferroelectric-transistors
    🔋 Samsung พัฒนา NAND รุ่นใหม่ ลดพลังงานได้ 96% Samsung ได้ตีพิมพ์งานวิจัยในวารสาร Nature เกี่ยวกับสถาปัตยกรรม NAND แบบใหม่ที่ใช้ Ferroelectric Field-Effect Transistor (FeFET) โดยผสมผสานวัสดุ hafnia-based ferroelectric เข้ากับช่องสัญญาณออกไซด์เซมิคอนดักเตอร์ จุดเด่นคือการทำงานที่ near-zero pass voltage ซึ่งช่วยลดพลังงานที่ใช้ในการอ่านและเขียนข้อมูลได้อย่างมหาศาล ใน NAND แบบดั้งเดิม การเพิ่มจำนวนเลเยอร์ทำให้ต้องใช้แรงดันไฟฟ้า (Vpass) สูงขึ้นเพื่อป้องกันการรบกวนข้อมูล แต่สถาปัตยกรรมใหม่ของ Samsung สามารถทำงานได้โดยไม่ต้องใช้แรงดันสูง ทำให้ลดภาระของวงจร charge pump และลดการใช้พลังงานรวมได้ถึง 94–96% ในอุปกรณ์ที่มี 286–1024 เลเยอร์ นักวิจัยได้ทดสอบทั้งในรูปแบบ planar arrays และโครงสร้าง 3D NAND ขนาดเล็ก พบว่าสามารถรองรับการเขียนข้อมูลได้ถึง 5 บิตต่อเซลล์ (PLC-class) แม้ความทนทานยังจำกัดอยู่ที่หลักร้อยถึงพันรอบการเขียน แต่ถือเป็นการพิสูจน์ศักยภาพของเทคโนโลยีนี้สำหรับอนาคต แม้ยังไม่มีแผนผลิตเชิงพาณิชย์ในตอนนี้ แต่ Samsung มองว่านี่คือ งานวิจัยพื้นฐาน ที่จะนำไปสู่ NAND รุ่นใหม่ที่ใช้พลังงานต่ำและมีความหนาแน่นสูง เหมาะสำหรับการใช้งานใน AI accelerators, ดาต้าเซ็นเตอร์ และอุปกรณ์ความจุสูง ที่ต้องการประสิทธิภาพและความยั่งยืนด้านพลังงาน 📌 สรุปประเด็นสำคัญ ✅ สถาปัตยกรรม NAND แบบใหม่จาก Samsung ➡️ ใช้ Ferroelectric Field-Effect Transistor (FeFET) ➡️ ทำงานที่ near-zero pass voltage ลดพลังงาน ✅ ประสิทธิภาพที่เหนือกว่า NAND เดิม ➡️ ลดการใช้พลังงานได้ 94–96% ในอุปกรณ์ 286–1024 เลเยอร์ ➡️ รองรับการเขียนข้อมูลได้ถึง 5 บิตต่อเซลล์ ✅ การทดสอบและผลลัพธ์ ➡️ ทดสอบทั้ง planar arrays และโครงสร้าง 3D NAND ➡️ ความทนทานอยู่ที่หลักร้อยถึงพันรอบการเขียน ‼️ ข้อควรระวังและความท้าทาย ⛔ ความทนทานของเซลล์ยังต่ำเมื่อเทียบกับ NAND เชิงพาณิชย์ ⛔ ต้องพัฒนาระบบ program-inhibit และ negative-voltage generation เพิ่มเติม ⛔ พฤติกรรมของช่องสัญญาณออกไซด์ภายใต้ความร้อนสูงยังต้องศึกษาเพิ่มเติม https://www.tomshardware.com/tech-industry/semiconductors/samsung-researchers-publish-96percent-lower-power-nand-design-based-on-ferroelectric-transistors
    WWW.TOMSHARDWARE.COM
    Samsung touts 96% lower-power NAND design — researchers investigate design based on ferroelectric transistors
    Researchers demonstrate FeFET-based 3D NAND cells with near-zero pass voltage and up to five bits per cell.
    0 Comments 0 Shares 206 Views 0 Reviews
  • ข่าว: Intel อาจกลับมาผลิตชิปให้ Apple

    รายงานล่าสุดเผยว่า Apple ได้ลงนาม NDA กับ Intel และเริ่มทดสอบ PDK (Process Design Kit) ของกระบวนการผลิต 18A โดยผลการจำลองใกล้เคียงกับที่คาดไว้ ทำให้ Apple อาจเลือก Intel เป็น ผู้ผลิตสำรอง (second source) สำหรับชิป M-series รุ่นเริ่มต้น เช่น MacBook Air และ iPad Pro ซึ่งมียอดขายรวมกว่า 20 ล้านเครื่องต่อปี

    ความหมายต่อ Intel และอุตสาหกรรม
    นี่จะเป็นครั้งแรกที่ Intel กลับมาผลิตชิปให้ Apple หลังจากถูกแทนที่โดย TSMC ในปี 2020 หากสำเร็จ Intel จะได้ลูกค้ารายใหญ่ที่ช่วยสร้างความเชื่อมั่นในกระบวนการผลิต 18A ซึ่งเป็นเทคโนโลยีขั้นสูงที่บริษัทพยายามผลักดันให้เป็น แพลตฟอร์ม foundry ระดับโลก การมี Apple เป็นลูกค้าจะช่วยให้ Intel มีจุดยืนแข็งแกร่งขึ้นในการแข่งขันกับ TSMC และ Samsung

    บริบทการแข่งขันและความเสี่ยง
    แม้การทดสอบจะเป็นไปตามแผน แต่ Intel ต้องพิสูจน์ว่า 18A สามารถผลิตได้ในปริมาณมากและมี yield ที่เสถียร ก่อนปี 2027 หากล่าช้า Apple อาจยังคงพึ่งพา TSMC ต่อไป นอกจากนี้ การลงทุนในโรงงานและการพัฒนาเทคโนโลยีใหม่ยังมีความเสี่ยงด้านต้นทุนและกำไรในระยะสั้น

    มุมมองจากตลาดโลก
    การที่ Appleพิจารณา Intel เป็นผู้ผลิตสำรองสะท้อนถึง กลยุทธ์ลดการพึ่งพา TSMC เพียงรายเดียว และสอดคล้องกับนโยบายของสหรัฐฯ ที่ต้องการเพิ่มการผลิตเซมิคอนดักเตอร์ภายในประเทศ หาก Intel สามารถผลิตชิป M-series ได้จริง จะเป็นการเสริมความมั่นคงของซัพพลายเชนและลดความเสี่ยงจากภูมิรัฐศาสตร์ในเอเชีย

    สรุปเป็นหัวข้อ
    เหตุการณ์ในข่าว
    Apple ลงนาม NDA กับ Intel เพื่อทดสอบกระบวนการผลิต 18A
    คาดว่า Intel จะเริ่มผลิตชิป M-series รุ่นเริ่มต้นได้ในปี 2027
    ถือเป็นครั้งแรกที่ Intel กลับมาผลิตชิปให้ Apple หลังปี 2020

    ข้อมูลเสริมจาก Internet
    MacBook Air และ iPad Pro มียอดขายรวมกว่า 20 ล้านเครื่องต่อปี
    Intel พยายามผลักดัน 18A ให้เป็นแพลตฟอร์ม foundry ระดับโลก
    Apple ต้องการลดการพึ่งพา TSMC และสอดคล้องกับนโยบายสหรัฐฯ

    คำเตือนสำหรับอุตสาหกรรม
    Intel ต้องพิสูจน์ yield และความเสถียรของ 18A ก่อนปี 2027
    หากล่าช้า Apple อาจยังคงพึ่งพา TSMC ต่อไป
    การลงทุนขนาดใหญ่มีความเสี่ยงด้านต้นทุนและกำไรในระยะสั้น

    https://www.tomshardware.com/tech-industry/semiconductors/intel-moves-closer-to-building-apples-entry-level-m-series-chips-on-18a
    🍏 ข่าว: Intel อาจกลับมาผลิตชิปให้ Apple รายงานล่าสุดเผยว่า Apple ได้ลงนาม NDA กับ Intel และเริ่มทดสอบ PDK (Process Design Kit) ของกระบวนการผลิต 18A โดยผลการจำลองใกล้เคียงกับที่คาดไว้ ทำให้ Apple อาจเลือก Intel เป็น ผู้ผลิตสำรอง (second source) สำหรับชิป M-series รุ่นเริ่มต้น เช่น MacBook Air และ iPad Pro ซึ่งมียอดขายรวมกว่า 20 ล้านเครื่องต่อปี 🏭 ความหมายต่อ Intel และอุตสาหกรรม นี่จะเป็นครั้งแรกที่ Intel กลับมาผลิตชิปให้ Apple หลังจากถูกแทนที่โดย TSMC ในปี 2020 หากสำเร็จ Intel จะได้ลูกค้ารายใหญ่ที่ช่วยสร้างความเชื่อมั่นในกระบวนการผลิต 18A ซึ่งเป็นเทคโนโลยีขั้นสูงที่บริษัทพยายามผลักดันให้เป็น แพลตฟอร์ม foundry ระดับโลก การมี Apple เป็นลูกค้าจะช่วยให้ Intel มีจุดยืนแข็งแกร่งขึ้นในการแข่งขันกับ TSMC และ Samsung ⚡ บริบทการแข่งขันและความเสี่ยง แม้การทดสอบจะเป็นไปตามแผน แต่ Intel ต้องพิสูจน์ว่า 18A สามารถผลิตได้ในปริมาณมากและมี yield ที่เสถียร ก่อนปี 2027 หากล่าช้า Apple อาจยังคงพึ่งพา TSMC ต่อไป นอกจากนี้ การลงทุนในโรงงานและการพัฒนาเทคโนโลยีใหม่ยังมีความเสี่ยงด้านต้นทุนและกำไรในระยะสั้น 🌍 มุมมองจากตลาดโลก การที่ Appleพิจารณา Intel เป็นผู้ผลิตสำรองสะท้อนถึง กลยุทธ์ลดการพึ่งพา TSMC เพียงรายเดียว และสอดคล้องกับนโยบายของสหรัฐฯ ที่ต้องการเพิ่มการผลิตเซมิคอนดักเตอร์ภายในประเทศ หาก Intel สามารถผลิตชิป M-series ได้จริง จะเป็นการเสริมความมั่นคงของซัพพลายเชนและลดความเสี่ยงจากภูมิรัฐศาสตร์ในเอเชีย 📌 สรุปเป็นหัวข้อ ✅ เหตุการณ์ในข่าว ➡️ Apple ลงนาม NDA กับ Intel เพื่อทดสอบกระบวนการผลิต 18A ➡️ คาดว่า Intel จะเริ่มผลิตชิป M-series รุ่นเริ่มต้นได้ในปี 2027 ➡️ ถือเป็นครั้งแรกที่ Intel กลับมาผลิตชิปให้ Apple หลังปี 2020 ✅ ข้อมูลเสริมจาก Internet ➡️ MacBook Air และ iPad Pro มียอดขายรวมกว่า 20 ล้านเครื่องต่อปี ➡️ Intel พยายามผลักดัน 18A ให้เป็นแพลตฟอร์ม foundry ระดับโลก ➡️ Apple ต้องการลดการพึ่งพา TSMC และสอดคล้องกับนโยบายสหรัฐฯ ‼️ คำเตือนสำหรับอุตสาหกรรม ⛔ Intel ต้องพิสูจน์ yield และความเสถียรของ 18A ก่อนปี 2027 ⛔ หากล่าช้า Apple อาจยังคงพึ่งพา TSMC ต่อไป ⛔ การลงทุนขนาดใหญ่มีความเสี่ยงด้านต้นทุนและกำไรในระยะสั้น https://www.tomshardware.com/tech-industry/semiconductors/intel-moves-closer-to-building-apples-entry-level-m-series-chips-on-18a
    0 Comments 0 Shares 224 Views 0 Reviews
  • ข่าว: Micron ลงทุนสร้างโรงงาน HBM ในญี่ปุ่น

    Micron วางแผนขยายโรงงานที่ฮิโรชิมาเพื่อสร้างโรงงานผลิต High-Bandwidth Memory (HBM) โดยใช้งบลงทุนกว่า 1.5 ล้านล้านเยน (9.6 พันล้านดอลลาร์) การก่อสร้างจะเริ่มในเดือนพฤษภาคมปีหน้า และคาดว่าจะเริ่มส่งมอบผลิตภัณฑ์ในปี 2028

    การสนับสนุนจากรัฐบาลญี่ปุ่น
    กระทรวงเศรษฐกิจ การค้า และอุตสาหกรรมของญี่ปุ่น (METI) คาดว่าจะสนับสนุนเงินอุดหนุนสูงสุดถึง 500 พันล้านเยน เพื่อดึงดูดการลงทุนจากต่างประเทศและเสริมสร้างศักยภาพอุตสาหกรรมเซมิคอนดักเตอร์ในประเทศ ซึ่งสอดคล้องกับกลยุทธ์ที่ญี่ปุ่นใช้กับโครงการของ TSMC และ Rapidus ที่ได้รับการสนับสนุนเช่นกัน

    บริบทการแข่งขันในตลาด HBM
    ตลาด HBM กำลังเป็นหัวใจสำคัญของซัพพลายเชน AI โดย SK hynix ครองตลาดหลักและส่งออกให้ Nvidia จนถึงปี 2026 ขณะที่ Samsung กำลังเร่งพัฒนา HBM3E แบบ 12 ชั้น ส่วน Micron เองก็มีสัญญาซัพพลายกับ Nvidia และ AMD และกำลังขยายส่วนแบ่งตลาดไปถึง 20% การสร้างโรงงานใหม่ในญี่ปุ่นจะช่วยเพิ่มศักยภาพและลดความเสี่ยงจากความไม่แน่นอนทางภูมิรัฐศาสตร์

    ความสำคัญต่ออนาคต AI
    โรงงานใหม่นี้จะตรงกับช่วงที่ GPU รุ่นถัดไป (HBM4/HBM4E) เริ่มเข้าสู่ตลาด ซึ่งต้องการหน่วยความจำที่มีความเร็วและความหนาแน่นสูงขึ้น หาก Micron สามารถผลิตได้ตามแผนในปี 2028 จะช่วยให้บริษัทมีบทบาทสำคัญในตลาด AI accelerators ที่กำลังเติบโตอย่างรวดเร็ว

    สรุปเป็นหัวข้อ
    เหตุการณ์ในข่าว
    Micron ลงทุน 9.6 พันล้านดอลลาร์สร้างโรงงาน HBM ที่ฮิโรชิมา
    เริ่มก่อสร้างปีหน้า และคาดว่าจะผลิตได้ในปี 2028

    ข้อมูลเสริมจาก Internet
    SK hynix ครองตลาด HBM และส่งออกให้ Nvidia จนถึงปี 2026
    Samsung เร่งพัฒนา HBM3E แบบ 12 ชั้น
    Micron มีสัญญาซัพพลายกับ Nvidia และ AMD และกำลังขยายส่วนแบ่งตลาดถึง 20%

    คำเตือนสำหรับอุตสาหกรรม
    ความต้องการ HBM สูงมาก อาจทำให้เกิดการขาดแคลนและราคาพุ่ง
    การแข่งขันระหว่าง SK hynix, Samsung และ Micron อาจทำให้ตลาดผันผวน
    ความเสี่ยงทางภูมิรัฐศาสตร์ เช่น ความสัมพันธ์สหรัฐ-จีน อาจกระทบซัพพลายเชน

    https://www.tomshardware.com/tech-industry/semiconductors/micron-plans-hbm-fab-in-japan-as-ai-memory-race-accelerates
    🏭 ข่าว: Micron ลงทุนสร้างโรงงาน HBM ในญี่ปุ่น Micron วางแผนขยายโรงงานที่ฮิโรชิมาเพื่อสร้างโรงงานผลิต High-Bandwidth Memory (HBM) โดยใช้งบลงทุนกว่า 1.5 ล้านล้านเยน (9.6 พันล้านดอลลาร์) การก่อสร้างจะเริ่มในเดือนพฤษภาคมปีหน้า และคาดว่าจะเริ่มส่งมอบผลิตภัณฑ์ในปี 2028 💰 การสนับสนุนจากรัฐบาลญี่ปุ่น กระทรวงเศรษฐกิจ การค้า และอุตสาหกรรมของญี่ปุ่น (METI) คาดว่าจะสนับสนุนเงินอุดหนุนสูงสุดถึง 500 พันล้านเยน เพื่อดึงดูดการลงทุนจากต่างประเทศและเสริมสร้างศักยภาพอุตสาหกรรมเซมิคอนดักเตอร์ในประเทศ ซึ่งสอดคล้องกับกลยุทธ์ที่ญี่ปุ่นใช้กับโครงการของ TSMC และ Rapidus ที่ได้รับการสนับสนุนเช่นกัน ⚡ บริบทการแข่งขันในตลาด HBM ตลาด HBM กำลังเป็นหัวใจสำคัญของซัพพลายเชน AI โดย SK hynix ครองตลาดหลักและส่งออกให้ Nvidia จนถึงปี 2026 ขณะที่ Samsung กำลังเร่งพัฒนา HBM3E แบบ 12 ชั้น ส่วน Micron เองก็มีสัญญาซัพพลายกับ Nvidia และ AMD และกำลังขยายส่วนแบ่งตลาดไปถึง 20% การสร้างโรงงานใหม่ในญี่ปุ่นจะช่วยเพิ่มศักยภาพและลดความเสี่ยงจากความไม่แน่นอนทางภูมิรัฐศาสตร์ 🚀 ความสำคัญต่ออนาคต AI โรงงานใหม่นี้จะตรงกับช่วงที่ GPU รุ่นถัดไป (HBM4/HBM4E) เริ่มเข้าสู่ตลาด ซึ่งต้องการหน่วยความจำที่มีความเร็วและความหนาแน่นสูงขึ้น หาก Micron สามารถผลิตได้ตามแผนในปี 2028 จะช่วยให้บริษัทมีบทบาทสำคัญในตลาด AI accelerators ที่กำลังเติบโตอย่างรวดเร็ว 📌 สรุปเป็นหัวข้อ ✅ เหตุการณ์ในข่าว ➡️ Micron ลงทุน 9.6 พันล้านดอลลาร์สร้างโรงงาน HBM ที่ฮิโรชิมา ➡️ เริ่มก่อสร้างปีหน้า และคาดว่าจะผลิตได้ในปี 2028 ✅ ข้อมูลเสริมจาก Internet ➡️ SK hynix ครองตลาด HBM และส่งออกให้ Nvidia จนถึงปี 2026 ➡️ Samsung เร่งพัฒนา HBM3E แบบ 12 ชั้น ➡️ Micron มีสัญญาซัพพลายกับ Nvidia และ AMD และกำลังขยายส่วนแบ่งตลาดถึง 20% ‼️ คำเตือนสำหรับอุตสาหกรรม ⛔ ความต้องการ HBM สูงมาก อาจทำให้เกิดการขาดแคลนและราคาพุ่ง ⛔ การแข่งขันระหว่าง SK hynix, Samsung และ Micron อาจทำให้ตลาดผันผวน ⛔ ความเสี่ยงทางภูมิรัฐศาสตร์ เช่น ความสัมพันธ์สหรัฐ-จีน อาจกระทบซัพพลายเชน https://www.tomshardware.com/tech-industry/semiconductors/micron-plans-hbm-fab-in-japan-as-ai-memory-race-accelerates
    WWW.TOMSHARDWARE.COM
    Micron plans $9.6 billion HBM fab in Japan as AI memory race accelerates
    U.S. chipmaker set to expand Hiroshima site with heavy support from Tokyo.
    0 Comments 0 Shares 293 Views 0 Reviews
  • ควอนตัมคอมพิวติ้ง: “Holy Trinity” ของโลกคอมพิวเตอร์

    อดีตซีอีโอของ Intel, Pat Gelsinger ให้สัมภาษณ์ว่า ควอนตัมคอมพิวติ้งจะเป็นตัวการที่ทำให้ “ฟองสบู่ AI” แตก โดยเขาเชื่อว่าควอนตัมจะมาแทนที่ GPU ภายในเวลาไม่กี่ปี ขัดแย้งกับมุมมองของ Jensen Huang ซีอีโอ Nvidia ที่มองว่าอาจใช้เวลาถึงสองทศวรรษ

    Pat Gelsinger กล่าวว่าควอนตัมคอมพิวติ้งคือหนึ่งใน “สามศักดิ์สิทธิ์” ของโลกคอมพิวเตอร์ ร่วมกับการประมวลผลแบบดั้งเดิมและ AI เขาเชื่อว่าการพัฒนาในด้านควอนตัมจะเกิดขึ้นเร็วมาก และจะทำให้ GPU ซึ่งปัจจุบันเป็นหัวใจหลักของการประมวลผล AI ถูกแทนที่ในอนาคตอันใกล้

    GPU อาจหมดบทบาทภายในทศวรรษนี้
    Gelsinger มองว่า GPU จะเริ่มถูกแทนที่ภายในสิ้นทศวรรษ เนื่องจากควอนตัมคอมพิวเตอร์สามารถจัดการกับปัญหาที่ซับซ้อนและใช้พลังงานน้อยกว่า เขาเชื่อว่าการพัฒนาเชิงพาณิชย์ของควอนตัมจะเกิดขึ้นภายใน 2 ปี ขัดแย้งกับ Jensen Huang ที่เคยกล่าวว่าอาจต้องใช้เวลา 20 ปี กว่าจะเห็นควอนตัมเข้าสู่ตลาดหลัก

    ผลกระทบต่ออุตสาหกรรม AI และเซมิคอนดักเตอร์
    หากควอนตัมคอมพิวติ้งก้าวเข้าสู่ตลาดเร็วจริง จะส่งผลกระทบมหาศาลต่อ Nvidia และผู้ผลิต GPU รายอื่น ที่ปัจจุบันครองตลาด AI อย่างเบ็ดเสร็จ นอกจากนี้ยังอาจเปลี่ยนโครงสร้างห่วงโซ่อุปทานของอุตสาหกรรมเซมิคอนดักเตอร์ และเปิดโอกาสใหม่ให้บริษัทที่ลงทุนในควอนตัม เช่น Playground Global ซึ่ง Gelsinger มีบทบาทอยู่

    มุมมองต่อ Microsoft และ OpenAI
    Gelsinger ยังเปรียบเทียบความร่วมมือระหว่าง Microsoft และ OpenAI ว่าเหมือนกับสิ่งที่ Bill Gates เคยทำกับ IBM ในยุค 1990 โดยมองว่า OpenAI เป็นเพียง “พันธมิตรด้านการจัดจำหน่าย” ขณะที่ Microsoft คือผู้ให้พลังการประมวลผลหลัก ซึ่งสะท้อนให้เห็นว่าเขามอง AI ในปัจจุบันเป็นเพียงช่วงเปลี่ยนผ่านก่อนที่ควอนตัมจะเข้ามาแทนที่

    สรุปประเด็นสำคัญ
    มุมมองของ Pat Gelsinger
    ควอนตัมคอมพิวติ้งคือ “Holy Trinity” ของโลกคอมพิวเตอร์
    GPU จะถูกแทนที่ภายในสิ้นทศวรรษ

    การเปรียบเทียบกับ Nvidia
    Gelsinger เชื่อว่าควอนตัมจะมาใน 2 ปี
    Jensen Huang มองว่าอาจใช้เวลา 20 ปี

    ผลกระทบต่ออุตสาหกรรม
    Nvidia และผู้ผลิต GPU เสี่ยงเสียความเป็นผู้นำ
    โครงสร้างห่วงโซ่อุปทานเซมิคอนดักเตอร์อาจเปลี่ยนแปลง

    มุมมองต่อ Microsoft และ OpenAI
    เปรียบเทียบกับความร่วมมือ IBM–Bill Gates ในอดีต
    Microsoft คือผู้ให้พลังการประมวลผลหลัก

    คำเตือนจากเหตุการณ์
    การคาดการณ์อาจเร็วเกินจริง เพราะควอนตัมยังอยู่ในช่วงวิจัย
    หาก GPU ถูกแทนที่เร็ว อุตสาหกรรม AI อาจเผชิญความปั่นป่วนครั้งใหญ่

    https://wccftech.com/quantum-computing-will-pop-the-ai-bubble-claims-ex-intel-ceo-pat-gelsinger/
    ⚛️ ควอนตัมคอมพิวติ้ง: “Holy Trinity” ของโลกคอมพิวเตอร์ อดีตซีอีโอของ Intel, Pat Gelsinger ให้สัมภาษณ์ว่า ควอนตัมคอมพิวติ้งจะเป็นตัวการที่ทำให้ “ฟองสบู่ AI” แตก โดยเขาเชื่อว่าควอนตัมจะมาแทนที่ GPU ภายในเวลาไม่กี่ปี ขัดแย้งกับมุมมองของ Jensen Huang ซีอีโอ Nvidia ที่มองว่าอาจใช้เวลาถึงสองทศวรรษ Pat Gelsinger กล่าวว่าควอนตัมคอมพิวติ้งคือหนึ่งใน “สามศักดิ์สิทธิ์” ของโลกคอมพิวเตอร์ ร่วมกับการประมวลผลแบบดั้งเดิมและ AI เขาเชื่อว่าการพัฒนาในด้านควอนตัมจะเกิดขึ้นเร็วมาก และจะทำให้ GPU ซึ่งปัจจุบันเป็นหัวใจหลักของการประมวลผล AI ถูกแทนที่ในอนาคตอันใกล้ 🖥️ GPU อาจหมดบทบาทภายในทศวรรษนี้ Gelsinger มองว่า GPU จะเริ่มถูกแทนที่ภายในสิ้นทศวรรษ เนื่องจากควอนตัมคอมพิวเตอร์สามารถจัดการกับปัญหาที่ซับซ้อนและใช้พลังงานน้อยกว่า เขาเชื่อว่าการพัฒนาเชิงพาณิชย์ของควอนตัมจะเกิดขึ้นภายใน 2 ปี ขัดแย้งกับ Jensen Huang ที่เคยกล่าวว่าอาจต้องใช้เวลา 20 ปี กว่าจะเห็นควอนตัมเข้าสู่ตลาดหลัก 🌍 ผลกระทบต่ออุตสาหกรรม AI และเซมิคอนดักเตอร์ หากควอนตัมคอมพิวติ้งก้าวเข้าสู่ตลาดเร็วจริง จะส่งผลกระทบมหาศาลต่อ Nvidia และผู้ผลิต GPU รายอื่น ที่ปัจจุบันครองตลาด AI อย่างเบ็ดเสร็จ นอกจากนี้ยังอาจเปลี่ยนโครงสร้างห่วงโซ่อุปทานของอุตสาหกรรมเซมิคอนดักเตอร์ และเปิดโอกาสใหม่ให้บริษัทที่ลงทุนในควอนตัม เช่น Playground Global ซึ่ง Gelsinger มีบทบาทอยู่ 🏛️ มุมมองต่อ Microsoft และ OpenAI Gelsinger ยังเปรียบเทียบความร่วมมือระหว่าง Microsoft และ OpenAI ว่าเหมือนกับสิ่งที่ Bill Gates เคยทำกับ IBM ในยุค 1990 โดยมองว่า OpenAI เป็นเพียง “พันธมิตรด้านการจัดจำหน่าย” ขณะที่ Microsoft คือผู้ให้พลังการประมวลผลหลัก ซึ่งสะท้อนให้เห็นว่าเขามอง AI ในปัจจุบันเป็นเพียงช่วงเปลี่ยนผ่านก่อนที่ควอนตัมจะเข้ามาแทนที่ 📌 สรุปประเด็นสำคัญ ✅ มุมมองของ Pat Gelsinger ➡️ ควอนตัมคอมพิวติ้งคือ “Holy Trinity” ของโลกคอมพิวเตอร์ ➡️ GPU จะถูกแทนที่ภายในสิ้นทศวรรษ ✅ การเปรียบเทียบกับ Nvidia ➡️ Gelsinger เชื่อว่าควอนตัมจะมาใน 2 ปี ➡️ Jensen Huang มองว่าอาจใช้เวลา 20 ปี ✅ ผลกระทบต่ออุตสาหกรรม ➡️ Nvidia และผู้ผลิต GPU เสี่ยงเสียความเป็นผู้นำ ➡️ โครงสร้างห่วงโซ่อุปทานเซมิคอนดักเตอร์อาจเปลี่ยนแปลง ✅ มุมมองต่อ Microsoft และ OpenAI ➡️ เปรียบเทียบกับความร่วมมือ IBM–Bill Gates ในอดีต ➡️ Microsoft คือผู้ให้พลังการประมวลผลหลัก ‼️ คำเตือนจากเหตุการณ์ ⛔ การคาดการณ์อาจเร็วเกินจริง เพราะควอนตัมยังอยู่ในช่วงวิจัย ⛔ หาก GPU ถูกแทนที่เร็ว อุตสาหกรรม AI อาจเผชิญความปั่นป่วนครั้งใหญ่ https://wccftech.com/quantum-computing-will-pop-the-ai-bubble-claims-ex-intel-ceo-pat-gelsinger/
    WCCFTECH.COM
    “Quantum Computing Will Pop the AI Bubble,” Claims Ex-Intel CEO Pat Gelsinger, Predicting GPUs Won’t Survive the Decade
    Pat Gelsinger have put up interesting claims to when the AI frenzy will end, claiming that a "quantum breakthrough" will pop the bubble.
    0 Comments 0 Shares 203 Views 0 Reviews
  • Intel 18A-P และการจับมือกับ Apple

    รายงานจากนักวิเคราะห์ชื่อดัง Ming-Chi Kuo ระบุว่า Apple ได้ลงนามในสัญญา NDA กับ Intel เพื่อเข้าถึง PDK (Process Design Kit) ของกระบวนการผลิต 18A-P ซึ่งเป็นเทคโนโลยีใหม่ที่ใช้ Foveros Direct 3D hybrid bonding ทำให้สามารถเชื่อมต่อชิปเล็ก ๆ หลายตัวเข้าด้วยกันได้อย่างมีประสิทธิภาพ โดย Apple มีแผนจะใช้กระบวนการนี้กับชิป M-series รุ่นล่างสำหรับ MacBook และ iPad

    จุดเด่นของ 18A-P
    กระบวนการ 18A-P ถูกปรับแต่งให้เหมาะกับการทำงานในหลายระดับพลังงานและแรงดันไฟฟ้า มีการปรับค่า threshold voltage เพื่อให้ได้ สมดุลระหว่างประสิทธิภาพและการใช้พลังงาน ซึ่งตรงกับแนวทางของ Apple ที่เน้นชิปแรงแต่ประหยัดพลังงาน นอกจากนี้ยังเป็นกระบวนการที่สนับสนุนการผลิตในสหรัฐฯ ซึ่งสอดคล้องกับกลยุทธ์ของ Apple ในการกระจายห่วงโซ่อุปทานและสนับสนุนการผลิตภายในประเทศ

    แผนการผลิตและความไม่แน่นอน
    Apple คาดว่า Intel จะเริ่มส่งมอบชิป M-series รุ่นล่างที่ใช้ 18A-P ได้เร็วที่สุดในปี 2027 โดยมีเป้าหมายการผลิตราว 15–20 ล้านชิ้น อย่างไรก็ตาม ความร่วมมือครั้งนี้ยังไม่ถูกยืนยัน 100% เพราะขึ้นอยู่กับผลการทดสอบ PDK รุ่นใหม่ (1.0/1.1) ที่จะออกในปี 2026 หากผลการทดสอบไม่เป็นไปตามคาด ความร่วมมืออาจถูกเลื่อนหรือยกเลิกได้

    ความหมายต่ออุตสาหกรรมเซมิคอนดักเตอร์
    หาก Apple ใช้ Intel เป็นผู้ผลิตชิปจริง จะเป็นการ ลดการพึ่งพา TSMC และสร้างความหลากหลายให้กับห่วงโซ่อุปทานโลก นอกจากนี้ยังเป็นการฟื้นบทบาทของ Intel ในฐานะผู้ผลิตชิปขั้นสูงที่แข่งขันกับ TSMC และ Samsung ได้อีกครั้ง ถือเป็นการเปลี่ยนแปลงเชิงกลยุทธ์ที่อาจส่งผลต่อทั้งตลาดเซมิคอนดักเตอร์และอุตสาหกรรมอุปกรณ์อิเล็กทรอนิกส์ทั่วโลก

    สรุปประเด็นสำคัญ
    Intel 18A-P และ Apple
    Apple ลงนาม NDA เพื่อเข้าถึง PDK ของ Intel
    มีแผนใช้กับชิป M-series รุ่นล่างสำหรับ MacBook และ iPad

    จุดเด่นของ 18A-P
    ใช้ Foveros Direct 3D bonding เชื่อมต่อชิปเล็ก ๆ
    ปรับ threshold voltage เพื่อสมดุลพลังงานและประสิทธิภาพ

    แผนการผลิต
    คาดเริ่มส่งมอบชิปในปี 2027
    เป้าหมายการผลิต 15–20 ล้านชิ้น

    ความหมายต่ออุตสาหกรรม
    ลดการพึ่งพา TSMC และกระจายห่วงโซ่อุปทาน
    ฟื้นบทบาท Intel ในตลาดเซมิคอนดักเตอร์ขั้นสูง

    คำเตือนและความเสี่ยง
    ความร่วมมือยังไม่ยืนยัน 100% ขึ้นอยู่กับผลการทดสอบ PDK 1.0/1.1
    หาก Intel ไม่สามารถส่งมอบตามแผน Apple อาจยังคงพึ่งพา TSMC ต่อไป

    https://wccftech.com/intels-18a-p-process-is-rumored-to-be-adopted-by-apple/
    🖥️ Intel 18A-P และการจับมือกับ Apple รายงานจากนักวิเคราะห์ชื่อดัง Ming-Chi Kuo ระบุว่า Apple ได้ลงนามในสัญญา NDA กับ Intel เพื่อเข้าถึง PDK (Process Design Kit) ของกระบวนการผลิต 18A-P ซึ่งเป็นเทคโนโลยีใหม่ที่ใช้ Foveros Direct 3D hybrid bonding ทำให้สามารถเชื่อมต่อชิปเล็ก ๆ หลายตัวเข้าด้วยกันได้อย่างมีประสิทธิภาพ โดย Apple มีแผนจะใช้กระบวนการนี้กับชิป M-series รุ่นล่างสำหรับ MacBook และ iPad ⚡ จุดเด่นของ 18A-P กระบวนการ 18A-P ถูกปรับแต่งให้เหมาะกับการทำงานในหลายระดับพลังงานและแรงดันไฟฟ้า มีการปรับค่า threshold voltage เพื่อให้ได้ สมดุลระหว่างประสิทธิภาพและการใช้พลังงาน ซึ่งตรงกับแนวทางของ Apple ที่เน้นชิปแรงแต่ประหยัดพลังงาน นอกจากนี้ยังเป็นกระบวนการที่สนับสนุนการผลิตในสหรัฐฯ ซึ่งสอดคล้องกับกลยุทธ์ของ Apple ในการกระจายห่วงโซ่อุปทานและสนับสนุนการผลิตภายในประเทศ 📈 แผนการผลิตและความไม่แน่นอน Apple คาดว่า Intel จะเริ่มส่งมอบชิป M-series รุ่นล่างที่ใช้ 18A-P ได้เร็วที่สุดในปี 2027 โดยมีเป้าหมายการผลิตราว 15–20 ล้านชิ้น อย่างไรก็ตาม ความร่วมมือครั้งนี้ยังไม่ถูกยืนยัน 100% เพราะขึ้นอยู่กับผลการทดสอบ PDK รุ่นใหม่ (1.0/1.1) ที่จะออกในปี 2026 หากผลการทดสอบไม่เป็นไปตามคาด ความร่วมมืออาจถูกเลื่อนหรือยกเลิกได้ 🌍 ความหมายต่ออุตสาหกรรมเซมิคอนดักเตอร์ หาก Apple ใช้ Intel เป็นผู้ผลิตชิปจริง จะเป็นการ ลดการพึ่งพา TSMC และสร้างความหลากหลายให้กับห่วงโซ่อุปทานโลก นอกจากนี้ยังเป็นการฟื้นบทบาทของ Intel ในฐานะผู้ผลิตชิปขั้นสูงที่แข่งขันกับ TSMC และ Samsung ได้อีกครั้ง ถือเป็นการเปลี่ยนแปลงเชิงกลยุทธ์ที่อาจส่งผลต่อทั้งตลาดเซมิคอนดักเตอร์และอุตสาหกรรมอุปกรณ์อิเล็กทรอนิกส์ทั่วโลก 📌 สรุปประเด็นสำคัญ ✅ Intel 18A-P และ Apple ➡️ Apple ลงนาม NDA เพื่อเข้าถึง PDK ของ Intel ➡️ มีแผนใช้กับชิป M-series รุ่นล่างสำหรับ MacBook และ iPad ✅ จุดเด่นของ 18A-P ➡️ ใช้ Foveros Direct 3D bonding เชื่อมต่อชิปเล็ก ๆ ➡️ ปรับ threshold voltage เพื่อสมดุลพลังงานและประสิทธิภาพ ✅ แผนการผลิต ➡️ คาดเริ่มส่งมอบชิปในปี 2027 ➡️ เป้าหมายการผลิต 15–20 ล้านชิ้น ✅ ความหมายต่ออุตสาหกรรม ➡️ ลดการพึ่งพา TSMC และกระจายห่วงโซ่อุปทาน ➡️ ฟื้นบทบาท Intel ในตลาดเซมิคอนดักเตอร์ขั้นสูง ‼️ คำเตือนและความเสี่ยง ⛔ ความร่วมมือยังไม่ยืนยัน 100% ขึ้นอยู่กับผลการทดสอบ PDK 1.0/1.1 ⛔ หาก Intel ไม่สามารถส่งมอบตามแผน Apple อาจยังคงพึ่งพา TSMC ต่อไป https://wccftech.com/intels-18a-p-process-is-rumored-to-be-adopted-by-apple/
    WCCFTECH.COM
    After Ditching Intel for Its Own Silicon, Apple Now Appears Ready to Return for Future MacBook Chips Built on the 18A-P Process
    Intel Foundry may soon see a breakthrough for its 18A-P process, as a prominent analyst predicts that Apple will adopt the node.
    0 Comments 0 Shares 217 Views 0 Reviews
More Results