• กลืนไม่เข้าคายไม่ออก ตอนที่ 1
    นิทานเรื่องจริง เรื่อง “กลืนไม่เข้าคายไม่ออก”
    ตอนที่ 1
ตะวัน ออกกลาง อยู่กลางแดด แต่เหมือนแดนสนธยา เรื่องราวของคนกลางแดดน่าพิศวง ชวนงง ไม่ง่ายสำหรับคนนอกแดดจะเข้าใจ โดยเฉพาะพวกฝรั่งตะวันตกที่เข้าไปยึดครองครอบงำ ถึงขนาดมีการพูดถึงชาวตะวันออกกลางว่า มิตรภาพของพวกเขามีไว้ให้เช่า (ชั่วคราว) แต่ไม่ได้มีไว้ขาย (ถาวร) แม้จะเป็นชาวทะเลทรายด้วยกัน แต่ความต่างเผ่า ต่างพันธุ์ ต่างนิกาย ต่างประเพณี ทำให้วิธีคิด วิธีดำเนินชีวิต และการเดินนโยบายประเทศของพวกเขา แตกต่างกันอย่างเหลือเชื่อ
    ความแตกต่างของชาวตะวันออกกลาง มีมานานแล้ว แต่ปัจจุบัน ความแตกต่างดูเหมือนจะกลายเป็นความแตกแยก แบ่งกันเห็นชัดเป็น 2 ค่าย ค่ายหนึ่งนิยมและนอนนิ่ง อยู่ในอุ้งมือของนักล่าฝรั่งตะวันตก โดยเฉพาะอเมริกา นักล่าใบตองแห้ง กับอังกฤษ นักล่าจากเกาะใหญ่เท่าปลายนิ้ว ก้อยของเท้าซ้าย ค่ายนี้นำโดย ซาอุดิอารเบีย เสี่ยใหญ่แห่งทะเลทราย มีพรรคพวกในสังกัด เป็นเศรษฐีน้ำมัน ประเภทชอบพกกระดาษสีเขียวตรานกอินทรีย์ เป็นปึก คือ ยูไนเต็ดอาหรับเอมิเรต (UAE) คูเวต บาห์เรน โอมาน กาตาร์ และอิรัก บวกด้วย เศษของ เศรษฐีอีกหนึ่งราย คือ จอร์แดน ซึ่งแม้ว่าจะไม่ใช่พวกบ้านติดอ่าวด้วยกัน แต่ก็เป็นประเภทนอนสบาย ไม่เดือดร้อนอยู่ในอุ้งมือนักล่าด้วยเช่นกัน
    ส่วน อีกค่ายหนึ่ง เข้าใจว่า ขณะนี้ไม่นิยมใช้ใบตองแห้ง และไม่ชอบอยู่เกาะ หลังจากเคยนิยมกันมาพักใหญ่ค่ายนี้นำโดยอิหร่าน ซึ่งกำลังถูกกล่าวหา (หรือกล่าวจริง) ว่ามีนิวเคลียร์พกติดกระเป๋ากางเกงไว้ตลอดเวลาอย่างน้อย 2 ลูก ส่วนพรรคพวกที่อิหร่านเพียรเกี้ยว และเกี่ยวมาเข้าค่ายเดียวกันมี ซีเรีย เลบานอน และกำลังล่อเอาอิรักออกมาจากค่ายเสี่ยใหญ่ซาอุดิ ลูกน้องตัวโปรดของนักล่าใบตองแห้งด้วย นอกจากนี้ ข่าวลือว่าตุรกี ซึ่งเคยเสพติดกระดาษสีเขียวตรานกอินทรีย์ ก็กำลังลังเล อาจจะย้ายมาอยู่ค่ายนี้ด้วย แต่อย่างว่า นักไต่ลวดพันธ์ลูกครึ่งชอบเกมเสี่ยง คงยังไม่ตัดสินใจอะไรง่ายๆ ต้องรอให้เสียวจัดกว่านี้อีกสักหน่อย ค่อยแสดงฉากผาดโผน
    อะไรทำให้พวกอยู่กลางแดด แตกแยกกันถึงขนาดนี้ พวกเขาจะเล่าให้ฟังเป็นเรื่องๆ ในมุมของแต่ละค่าย ซึ่งแน่นอน คนละเรื่องเดียวกันเสมอ
    เรื่อง การบุกเข้าไปถล่มอิรัก ของอเมริกานักล่าใบตองแห้ง และเก็บซัดดัมใส่ห่อฝังลืม ตั้งแต่ ค.ศ.2003 ค่ายเสี่ยใหญ่ซาอุดิ บอกเป็นของขวัญอันล้ำค่า ที่อเมริกาดันมอบให้อิหร่านโดยประมาท หรือประเมินผิดพลาดอย่างไม่น่าเชื่อ การทำให้อิรักแหลก ซัดดัมเละ เกิดช่องว่างในอิรัก ให้อิหร่านแทรกตัวเข้าไปได้อย่างไม่ยาก อิรักมีพวกซีอ่ะห์อยู่เกือบ 60% ของพลเมือง มีเพื่อนซีอ่ะห์อย่าง อิหร่านมาสนับสนุน ประโลมใจ ยามบ้านแตกสาแหรกหาย ย่อมดีกว่ามีพวกฝรั่งนักล่ามา ไล่ถล่มทิ้งระเบิดใส่ ไม่ต้องวิเคราะห์มาก เรื่องตรงไปตรงมา แน่นอน เสี่ยใหญ่ย่อมไม่พอใจ มันฉวยโอกาสฉกเด็กของเราไป จะพูด จะบ่น เรื่องอะไรกับลูกพี่ เสี่ยใหญ่เป็นต้องเอาเรื่องนี้ ขึ้นต้นเป็น แผ่นเสียงตกร่องก่อนเสมอ ทำให้ลูกพี่แสนจะเอือม เลิกพูดซ้ำซากได้มั้ยเสี่ย มันพลาดไปแล้ว อย่าย้ำหัวตะปูมาก นี่ถ้าไม่ติดพันกันเรื่องน้ำมัน ป่านนี้ตอกตะปูหัวให้แล้ว
    เรื่อง Arab Spring จากการรดน้ำใส่ปุ๋ย เอาต้นไม้ประชาธิปไตย ปลูกลงดินแดนทะเลทรายมาเป็นเวลาหลายปี ทั้งทางตรงและทางอ้อม ค.ศ.2011 ก็เริ่มเห็นผล ต้นไม้ประชาธิปไตยเริ่มทยอยกันงอกกลางทะเลทราย เริ่มตั้งแต่ตูนีเซีย เรื่องของเด็กขายผลไม้เผาตัวเอง เป็นตำนานที่โลกจะไม่มีวันลืม กัดดาฟี่เผด็จการตัวร้าย ถูกขยี้อยู่ในที่หลบภัยพร้อมกับ ลูกรัก โลกตบมือ ไชโย ดีใจ เผด็จการตัวร้ายไปอีกหนึ่ง ไม่นานหลังจากนั้น อียิปต์ก็ลุกฮือเอาอย่าง มูบารักกุมบังเหียนมากว่า 30 ปี ก็มีวันที่ลงจากม้าแทบไม่ทัน แถมยังต้องถูกนอนเปลห้ามไปขึ้นศาลข้อหาฆ่าประชาชน อนิจจา อนิจจัง
    ต้นไม้ ประชาธิปไตยงอกงาม Arab Spring งามจริงๆ พร้อมกับการอ้างว่า เพื่อให้เป็นประชาธิปไตย แต่ประเทศล่มสลาย ประชาชนล้มตาย พิการเท่าไหร่ น้อยคนจะติดตาม เรื่องช่อง 3 จอดำคงมีคนติดตามมากกว่า สมันน้อย ก็คงตามดูข่าว อ้าปากหวอ สลับดูละครน้ำเน่าเหมือนเดิม
    ผู้ปกครอง ประเทศ ที่เป็นเผด็จการ ก็สมควรอยู่ที่จะต้องถูกกำจัด แต่มันคงไม่ง่ายเหมือนใจนึก หลังจากเด็ดหัวทิ้งแล้ว จะจัดการกับตัวอย่างไร ประเทศนะ ไม่ใช่ต้นกล้วย จะได้ฟันฉับแล้วจบ ถึงเป็นต้นกล้วยหน่อมันยังงอกเลย แล้วประเทศมันจะเปลี่ยน แบบฉากละครง่ายๆอย่างนั้นหรือ ใครที่คิดว่า จะเปลี่ยนอะไร แบบง่ายๆ ได้ง่ายๆ ก็คิดทบทวนให้มากๆหน่อยนะครับ
    หลัง Arab Spring ทำให้เกิดอาการผึ้งแตกรัง หัวหน้าไม่มี ฝูงผึ้งก็บินกระจัดกระจาย แต่มันไม่ใช่แค่ผึ้งรังเดียว มันทยอยกันแตกไม่รู้กี่รัง ไปทั่วทะเลทราย ไอ้คนที่ทำผึ้งแตกรัง ดันหดหัว หางตก กลับบ้าน เพราะยังตั้งตัวไม่ติด ถุด ! เก่งดีนัก !
    Arab Spring ทำให้ค่ายเสี่ยใหญ่ ซาอุดิ หงุดหงิด บอกมันเป็นการสร้างปัญหาให้กับพวกเรา แม้เราจะไม่เหมือน กัดดาฟี่ มูบารัก ซัดดัม เพราะคนพวกนี้กดขี่ประชาชนตนเอง แต่พวกเราคนรวย เราดูแลประชาชนของเราดี ไปดูตัวเลขที่ CIA แต่งให้ซิ รายได้ต่อหัวของพลเมืองเราอยู่อันดับไหน (หากันเอาเองนะครับ ผมขี้เกียจเข้าไปค้นตัวเลขปลอมๆของ CIA ) แต่นั่นล่ะนะ มันทำให้คนในบ้านเรา อดเกิดความคิดเฟื่องด้วยไม่ได้ ทำให้พวกเราต้องเพิ่มการดูแล และแลดูเขามากขึ้น มันเป็นการเพิ่มรายจ่ายให้กับเรานะ แล้วกัน เสี่ยใหญ่ เสียแรงเป็นลูกน้องระดับแถวหน้า ของเจ้าของผู้ผลิตสินค้ายี่ห้อประชาธิปไตย ยังไม่ชื่นชมนิยมยินดีเลย แบบนี้เจ้าของเขาจะไปหลอกขายสินค้าใครได้
    แต่ สำหรับอิหร่านเจ้าของนิวเคลียร์ 2 ลูก บอก Arab Spring ไม่มีปัญหาสำหรับบ้านเรา เพราะคนบ้านเราเขาดูออกว่าเป็นสินค้าปลอม เขาเคยใช้สินค้านี้มาหลายสิบปี รู้ฤทธิ์ของปลอม ว่ามันทำพิษพวกเขาขนาดไหน และดีเสียอีก มีคนขายของปลอมกันมากๆ เป็นโอกาสที่เราจะเอาสินค้าตราอื่นไปขายแข่งบ้าง และดูเหมือนการตลาด หรือสินค้าของอิหร่านจะเข้าตา ตอนนี้ลูกค้าเพิ่มทุกวัน
    เรื่อง ซีเรีย ค่ายเสี่ยใหญ่ซาอุดิ มองเหตุการณ์ที่ซีเรีย เหมือนฝันร้าย เล่นเอาตอนนี้นอนตาโพลง กลัวว่าถ้าหลับตา จะต้องฝันร้ายเรื่องเดียวกับซีเรีย สนามรบซีเรีย มีนักรบเพิ่มขึ้นมากมาย หลายพวก หลายกลุ่ม ทั้งฝั่งรัฐบาลและฝั่งต่อต้านรัฐบาล ต่างฝ่ายใช้วิธีการตลาดผ่านหน้า social media เหมือนสร้างหนังสด เล่นเอาพวกที่ชมดูอยู่ทางบ้าน อดใจไม่ไหว สะพายเป้เข้าไปร่วมรายการด้วยมากมาย มีการประกาศรายชื่อกลุ่มเพิ่มเกือบทุกวัน จนตามไม่ทัน กลุ่มเสี่ยใหญ่นั่งไม่ติด แล้วอเมริกาจะทำอะไรบ้างไหม ทำไมอเมริกาไม่ยกทัพไปปราบ เหมือนตอนปราบซัดดัม เหมือนตอนปราบบินลาเดน เหมือนตอนปราบกัดดาฟี่ เหมือนตอนปราบมูบารัก กลุ่มเสี่ยใหญ่กลุ้มใจ จนกรดไหลย้อนบ้านหมุน นี่ถ้าพวกไปหนุนซีเรีย ไม่ว่าข้างไหน มันชนะ ความวุ่นวายมันจะต้องลามมาถึงบ้านอันใหญ่โต หรูหรา เย็นฉ่ำ ของเราแน่นอน เราจะนิ่งเฉยๆดู อเมริกาอยู่เฉยๆ อย่างนี้น่ะหรือ !? คงต้องคิดทำอะไรบ้างแล้ว
    แต่สำหรับ อิหร่าน ซึ่งสานสัมพันธ์กับ Assad ของซีเรียมานานแล้ว รวมทั้งเลบานอนและอิรัก คงไม่ถึงกับนอนไม่หลับฝันร้าย แต่ในเมื่อเขาว่า ในตะวันออกกลาง มิตรภาพมีไว้ให้เช่า (ชั่วคราว) ไม่มีไว้ให้ขาย(ถาวร) วันหนึ่งเพื่อนหายหน้าหมด แต่การคว่ำบาตรของฝ่ายนักล่าตะวันตก ยังคงมีค้างอยู่กลางแดด อิหร่านก็ต้องคิดหนัก จะเดินหน้าเต็มตัวหนุน Assad ก็ต้องแน่ใจ และใจแน่ แต่ดูเหมือนอิหร่านจะเลือกใช้ถนน One way ถอยลำบากเสียแล้วกระมัง
    เรื่อง ศักยภาพทางอาวุธ หน่วยข่าวกรองรับจ๊อบ กระซิบบอกค่ายเสี่ยใหญ่ซาอุดิว่า อิหร่านมีจรวดพิสัยกลางแน่นอน ขนาดกำลังพอดีกับเป้าหมายแถวอ่าว และเชื่อว่าอาวุธของอิหร่าน มีอานุภาพขั้นทำลายได้รุนแรง จริงจัง ไม่ใช่แค่ลำลายตึกและประชาชนเท่านั้น ข่าวนี้ทำให้ค่ายเสี่ยใหญ่ ฮึดสู้ทุ่มทุนซื้ออาวุธจากลูกพี่เพิ่มขึ้นตลอดทุกปี เงินหนานี่ จะเอาอะไรล่ะ ลูกพี่มีให้ทั้งนั้น
    แต่ที่ สำคัญ ตราบใดที่ลูกพี่มหามิตร นักล่าใบตองแห้ง ยังเป็นมหามิตรยืนอยู่ข้างหลังค่ายเสี่ยใหญ่อยู่ตลอดกาล อิหร่านต่างหาก จะกลายเป็นใบตองแห้งเสียเอง ดังนั้นค่ายเสี่ยใหญ่จึงต้องทำทุกอย่าง ให้ลูกพี่ประกาศออกมาต่อหน้าโลก ไม่ใช่มาทำกระซิบกระซาบเบาๆ แบบเหนียมอาย ว่าลูกพี่พร้อมที่จะปกป้องค่ายเสี่ยใหญ่ มายืนติดอยู่ข้างหลังเหมือนเอากาวทาติดตัวไว้ตลอด ได้ยินไหมคร๊าบ ลูกพี่
    ส่วน ค่ายอิหร่าน เจ้าของนิวเคลียร์ 2 ลูก ตอบขรึมๆว่า ก็คอยดูไปก็แล้วกัน เรื่องอาวุธไม่จำเป็นต้องคุย ใครๆก็รู้ว่าเสือซุ่มน่ากลัวแค่ไหน ส่วนจะมีใครยืนอยู่ข้างหลังโดยไม่ต้องใช้กาวทาติดไว้ ก็คอยดูไปอีกเช่นกัน แหม! ตอบแบบพระเอกเลยนะ
    เรื่อง อาวุธนิวเคลียร์ ค่ายเสี่ยใหญ่ซาอุดิ คิดว่า เราก็เป็นเสี่ยใหญ่มีเงินหนา ทำไมเราจะซื้อหามามั่งไม่ได้ ข่าวเขาว่า ซาอุดิ กำลังปรับสมรรถนะเครื่องยิงจรวดวิถีไกล ที่ซื้อมาจากจีนอยู่อย่างเคร่งเครียด ข่าวนี้เขียนไปแล้วแต่หาเครื่องกรองไม่เจอ จึงไม่รับรองความแม่นยำนะครับ และมีข่าวว่า ปากีสถานก็อาจจะแบ่งขายอาวุธนิวเคลียร์ของตนให้เสี่ยซาอุดิด้วย เพราะเป็นมิตรรักร่วมใช้กระเป๋าของเสี่ยซาอุดิมานานแล้ว แค่นี้ทำไมจะปันแบ่งกันให้ไม่ได้ นี่พูดแบบหนังแขกเลยนะ ยังกะมันแบ่ง มันขายกันให้ง่ายๆงั้นแหละ เฮ้อ! พูดกับคนรวยนี่เหนื่อยนะ เอะอะก็เรามีเงิน เดี๋ยวซื้อนี่ ซื้อนั่น คำว่าสร้างน่ะรู้จักกันบ้างไหม นิวเคลียร์นะเขาสร้างกันนานแค่ไหน บารมี ก็เหมือนกัน ซื้อไม่ได้ นะครับ เขาต้องสร้างเอง สร้างนานด้วย ไอ้ที่ขึ้นมาเป็นใหญ่ปุ๊บปั๊บ ดังคับจอ บอกบารมีเต็มเปี่ยมน่ะ ถูกหลอกต้มทั้งนั้นแหละ เดี๋ยวก็รู้สึก
    นอก เรื่องไปหน่อย กลับมาเรื่องเสี่ยซาอุดิ ซึ่งยังเชื่อว่า เรื่องอาวุธนิวเคลียร์ของอิหร่าน น่าจะยังอยู่ในขั้นพัฒนา ไม่ใช่อยู่ในขั้นใช้การได้จริงๆ และถ้าจะใช้จริง ของดีที่อิสราเอลมีอยู่ ก็น่าจะมีอานุภาพมากกว่าของอิหร่าน ในฐานะสังกัดลูกพี่เดียวกัน ใจคออิสราเอลจะทิ้งให้ซาอุดิลำบากหรือ แหม! เสี่ยใหญ่คิดแบบนี้ละซิ มันถึงจะเจ๊งเอาจนได้ เวลาจะใช้นิวเคลียร์ของเขาก็ดันนับญาติ เวลาหมั่นไส้ ก็หาว่ายิวฮุบแผ่นดินอาหรับแล้วก็ส่งลูกกระเป๋งไปโซ๊ย ลูกพี่ใบตองแห้งฝากเตือนมานะเสี่ย
    ส่วนค่ายอิหร่าน เสี่ยนิวเคลียร์ 2 ลูกอยู่ในกระเป๋ากางเกง เหมือนเดิม พูดเรื่องอาวุธทีไร ต้องทำหน้าขรึม บอกว่า เราจะมีถึงขั้นใช้การได้หรือไม่ อีกหน่อยก็คงรู้กัน พูดซะหล่อเลย
    แม้ ทั้ง 2 ค่ายกลางทะเลทราย จะมีมุมมองในเรื่องต่างๆ คนละทิศกัน แต่ทั้ง 2 ค่าย ต่างก็เป็นหมากในกระดานของเกมชิงโลก แม้สถานะของหมากจะต่างกัน แต่มันขึ้นกับลูกพี่ใหญ่คนเดินหมาก ที่มีเป้าชิงโลกใบนี้ ให้อยู่ในมือตนแต่ผู้เดียว จะเดินหมากต่อไปอย่างไร
    สวัสดีครับ
คนเล่านิทาน
2 ตุลาคม 2557
    กลืนไม่เข้าคายไม่ออก ตอนที่ 1 นิทานเรื่องจริง เรื่อง “กลืนไม่เข้าคายไม่ออก” ตอนที่ 1
ตะวัน ออกกลาง อยู่กลางแดด แต่เหมือนแดนสนธยา เรื่องราวของคนกลางแดดน่าพิศวง ชวนงง ไม่ง่ายสำหรับคนนอกแดดจะเข้าใจ โดยเฉพาะพวกฝรั่งตะวันตกที่เข้าไปยึดครองครอบงำ ถึงขนาดมีการพูดถึงชาวตะวันออกกลางว่า มิตรภาพของพวกเขามีไว้ให้เช่า (ชั่วคราว) แต่ไม่ได้มีไว้ขาย (ถาวร) แม้จะเป็นชาวทะเลทรายด้วยกัน แต่ความต่างเผ่า ต่างพันธุ์ ต่างนิกาย ต่างประเพณี ทำให้วิธีคิด วิธีดำเนินชีวิต และการเดินนโยบายประเทศของพวกเขา แตกต่างกันอย่างเหลือเชื่อ ความแตกต่างของชาวตะวันออกกลาง มีมานานแล้ว แต่ปัจจุบัน ความแตกต่างดูเหมือนจะกลายเป็นความแตกแยก แบ่งกันเห็นชัดเป็น 2 ค่าย ค่ายหนึ่งนิยมและนอนนิ่ง อยู่ในอุ้งมือของนักล่าฝรั่งตะวันตก โดยเฉพาะอเมริกา นักล่าใบตองแห้ง กับอังกฤษ นักล่าจากเกาะใหญ่เท่าปลายนิ้ว ก้อยของเท้าซ้าย ค่ายนี้นำโดย ซาอุดิอารเบีย เสี่ยใหญ่แห่งทะเลทราย มีพรรคพวกในสังกัด เป็นเศรษฐีน้ำมัน ประเภทชอบพกกระดาษสีเขียวตรานกอินทรีย์ เป็นปึก คือ ยูไนเต็ดอาหรับเอมิเรต (UAE) คูเวต บาห์เรน โอมาน กาตาร์ และอิรัก บวกด้วย เศษของ เศรษฐีอีกหนึ่งราย คือ จอร์แดน ซึ่งแม้ว่าจะไม่ใช่พวกบ้านติดอ่าวด้วยกัน แต่ก็เป็นประเภทนอนสบาย ไม่เดือดร้อนอยู่ในอุ้งมือนักล่าด้วยเช่นกัน ส่วน อีกค่ายหนึ่ง เข้าใจว่า ขณะนี้ไม่นิยมใช้ใบตองแห้ง และไม่ชอบอยู่เกาะ หลังจากเคยนิยมกันมาพักใหญ่ค่ายนี้นำโดยอิหร่าน ซึ่งกำลังถูกกล่าวหา (หรือกล่าวจริง) ว่ามีนิวเคลียร์พกติดกระเป๋ากางเกงไว้ตลอดเวลาอย่างน้อย 2 ลูก ส่วนพรรคพวกที่อิหร่านเพียรเกี้ยว และเกี่ยวมาเข้าค่ายเดียวกันมี ซีเรีย เลบานอน และกำลังล่อเอาอิรักออกมาจากค่ายเสี่ยใหญ่ซาอุดิ ลูกน้องตัวโปรดของนักล่าใบตองแห้งด้วย นอกจากนี้ ข่าวลือว่าตุรกี ซึ่งเคยเสพติดกระดาษสีเขียวตรานกอินทรีย์ ก็กำลังลังเล อาจจะย้ายมาอยู่ค่ายนี้ด้วย แต่อย่างว่า นักไต่ลวดพันธ์ลูกครึ่งชอบเกมเสี่ยง คงยังไม่ตัดสินใจอะไรง่ายๆ ต้องรอให้เสียวจัดกว่านี้อีกสักหน่อย ค่อยแสดงฉากผาดโผน อะไรทำให้พวกอยู่กลางแดด แตกแยกกันถึงขนาดนี้ พวกเขาจะเล่าให้ฟังเป็นเรื่องๆ ในมุมของแต่ละค่าย ซึ่งแน่นอน คนละเรื่องเดียวกันเสมอ เรื่อง การบุกเข้าไปถล่มอิรัก ของอเมริกานักล่าใบตองแห้ง และเก็บซัดดัมใส่ห่อฝังลืม ตั้งแต่ ค.ศ.2003 ค่ายเสี่ยใหญ่ซาอุดิ บอกเป็นของขวัญอันล้ำค่า ที่อเมริกาดันมอบให้อิหร่านโดยประมาท หรือประเมินผิดพลาดอย่างไม่น่าเชื่อ การทำให้อิรักแหลก ซัดดัมเละ เกิดช่องว่างในอิรัก ให้อิหร่านแทรกตัวเข้าไปได้อย่างไม่ยาก อิรักมีพวกซีอ่ะห์อยู่เกือบ 60% ของพลเมือง มีเพื่อนซีอ่ะห์อย่าง อิหร่านมาสนับสนุน ประโลมใจ ยามบ้านแตกสาแหรกหาย ย่อมดีกว่ามีพวกฝรั่งนักล่ามา ไล่ถล่มทิ้งระเบิดใส่ ไม่ต้องวิเคราะห์มาก เรื่องตรงไปตรงมา แน่นอน เสี่ยใหญ่ย่อมไม่พอใจ มันฉวยโอกาสฉกเด็กของเราไป จะพูด จะบ่น เรื่องอะไรกับลูกพี่ เสี่ยใหญ่เป็นต้องเอาเรื่องนี้ ขึ้นต้นเป็น แผ่นเสียงตกร่องก่อนเสมอ ทำให้ลูกพี่แสนจะเอือม เลิกพูดซ้ำซากได้มั้ยเสี่ย มันพลาดไปแล้ว อย่าย้ำหัวตะปูมาก นี่ถ้าไม่ติดพันกันเรื่องน้ำมัน ป่านนี้ตอกตะปูหัวให้แล้ว เรื่อง Arab Spring จากการรดน้ำใส่ปุ๋ย เอาต้นไม้ประชาธิปไตย ปลูกลงดินแดนทะเลทรายมาเป็นเวลาหลายปี ทั้งทางตรงและทางอ้อม ค.ศ.2011 ก็เริ่มเห็นผล ต้นไม้ประชาธิปไตยเริ่มทยอยกันงอกกลางทะเลทราย เริ่มตั้งแต่ตูนีเซีย เรื่องของเด็กขายผลไม้เผาตัวเอง เป็นตำนานที่โลกจะไม่มีวันลืม กัดดาฟี่เผด็จการตัวร้าย ถูกขยี้อยู่ในที่หลบภัยพร้อมกับ ลูกรัก โลกตบมือ ไชโย ดีใจ เผด็จการตัวร้ายไปอีกหนึ่ง ไม่นานหลังจากนั้น อียิปต์ก็ลุกฮือเอาอย่าง มูบารักกุมบังเหียนมากว่า 30 ปี ก็มีวันที่ลงจากม้าแทบไม่ทัน แถมยังต้องถูกนอนเปลห้ามไปขึ้นศาลข้อหาฆ่าประชาชน อนิจจา อนิจจัง ต้นไม้ ประชาธิปไตยงอกงาม Arab Spring งามจริงๆ พร้อมกับการอ้างว่า เพื่อให้เป็นประชาธิปไตย แต่ประเทศล่มสลาย ประชาชนล้มตาย พิการเท่าไหร่ น้อยคนจะติดตาม เรื่องช่อง 3 จอดำคงมีคนติดตามมากกว่า สมันน้อย ก็คงตามดูข่าว อ้าปากหวอ สลับดูละครน้ำเน่าเหมือนเดิม ผู้ปกครอง ประเทศ ที่เป็นเผด็จการ ก็สมควรอยู่ที่จะต้องถูกกำจัด แต่มันคงไม่ง่ายเหมือนใจนึก หลังจากเด็ดหัวทิ้งแล้ว จะจัดการกับตัวอย่างไร ประเทศนะ ไม่ใช่ต้นกล้วย จะได้ฟันฉับแล้วจบ ถึงเป็นต้นกล้วยหน่อมันยังงอกเลย แล้วประเทศมันจะเปลี่ยน แบบฉากละครง่ายๆอย่างนั้นหรือ ใครที่คิดว่า จะเปลี่ยนอะไร แบบง่ายๆ ได้ง่ายๆ ก็คิดทบทวนให้มากๆหน่อยนะครับ หลัง Arab Spring ทำให้เกิดอาการผึ้งแตกรัง หัวหน้าไม่มี ฝูงผึ้งก็บินกระจัดกระจาย แต่มันไม่ใช่แค่ผึ้งรังเดียว มันทยอยกันแตกไม่รู้กี่รัง ไปทั่วทะเลทราย ไอ้คนที่ทำผึ้งแตกรัง ดันหดหัว หางตก กลับบ้าน เพราะยังตั้งตัวไม่ติด ถุด ! เก่งดีนัก ! Arab Spring ทำให้ค่ายเสี่ยใหญ่ ซาอุดิ หงุดหงิด บอกมันเป็นการสร้างปัญหาให้กับพวกเรา แม้เราจะไม่เหมือน กัดดาฟี่ มูบารัก ซัดดัม เพราะคนพวกนี้กดขี่ประชาชนตนเอง แต่พวกเราคนรวย เราดูแลประชาชนของเราดี ไปดูตัวเลขที่ CIA แต่งให้ซิ รายได้ต่อหัวของพลเมืองเราอยู่อันดับไหน (หากันเอาเองนะครับ ผมขี้เกียจเข้าไปค้นตัวเลขปลอมๆของ CIA ) แต่นั่นล่ะนะ มันทำให้คนในบ้านเรา อดเกิดความคิดเฟื่องด้วยไม่ได้ ทำให้พวกเราต้องเพิ่มการดูแล และแลดูเขามากขึ้น มันเป็นการเพิ่มรายจ่ายให้กับเรานะ แล้วกัน เสี่ยใหญ่ เสียแรงเป็นลูกน้องระดับแถวหน้า ของเจ้าของผู้ผลิตสินค้ายี่ห้อประชาธิปไตย ยังไม่ชื่นชมนิยมยินดีเลย แบบนี้เจ้าของเขาจะไปหลอกขายสินค้าใครได้ แต่ สำหรับอิหร่านเจ้าของนิวเคลียร์ 2 ลูก บอก Arab Spring ไม่มีปัญหาสำหรับบ้านเรา เพราะคนบ้านเราเขาดูออกว่าเป็นสินค้าปลอม เขาเคยใช้สินค้านี้มาหลายสิบปี รู้ฤทธิ์ของปลอม ว่ามันทำพิษพวกเขาขนาดไหน และดีเสียอีก มีคนขายของปลอมกันมากๆ เป็นโอกาสที่เราจะเอาสินค้าตราอื่นไปขายแข่งบ้าง และดูเหมือนการตลาด หรือสินค้าของอิหร่านจะเข้าตา ตอนนี้ลูกค้าเพิ่มทุกวัน เรื่อง ซีเรีย ค่ายเสี่ยใหญ่ซาอุดิ มองเหตุการณ์ที่ซีเรีย เหมือนฝันร้าย เล่นเอาตอนนี้นอนตาโพลง กลัวว่าถ้าหลับตา จะต้องฝันร้ายเรื่องเดียวกับซีเรีย สนามรบซีเรีย มีนักรบเพิ่มขึ้นมากมาย หลายพวก หลายกลุ่ม ทั้งฝั่งรัฐบาลและฝั่งต่อต้านรัฐบาล ต่างฝ่ายใช้วิธีการตลาดผ่านหน้า social media เหมือนสร้างหนังสด เล่นเอาพวกที่ชมดูอยู่ทางบ้าน อดใจไม่ไหว สะพายเป้เข้าไปร่วมรายการด้วยมากมาย มีการประกาศรายชื่อกลุ่มเพิ่มเกือบทุกวัน จนตามไม่ทัน กลุ่มเสี่ยใหญ่นั่งไม่ติด แล้วอเมริกาจะทำอะไรบ้างไหม ทำไมอเมริกาไม่ยกทัพไปปราบ เหมือนตอนปราบซัดดัม เหมือนตอนปราบบินลาเดน เหมือนตอนปราบกัดดาฟี่ เหมือนตอนปราบมูบารัก กลุ่มเสี่ยใหญ่กลุ้มใจ จนกรดไหลย้อนบ้านหมุน นี่ถ้าพวกไปหนุนซีเรีย ไม่ว่าข้างไหน มันชนะ ความวุ่นวายมันจะต้องลามมาถึงบ้านอันใหญ่โต หรูหรา เย็นฉ่ำ ของเราแน่นอน เราจะนิ่งเฉยๆดู อเมริกาอยู่เฉยๆ อย่างนี้น่ะหรือ !? คงต้องคิดทำอะไรบ้างแล้ว แต่สำหรับ อิหร่าน ซึ่งสานสัมพันธ์กับ Assad ของซีเรียมานานแล้ว รวมทั้งเลบานอนและอิรัก คงไม่ถึงกับนอนไม่หลับฝันร้าย แต่ในเมื่อเขาว่า ในตะวันออกกลาง มิตรภาพมีไว้ให้เช่า (ชั่วคราว) ไม่มีไว้ให้ขาย(ถาวร) วันหนึ่งเพื่อนหายหน้าหมด แต่การคว่ำบาตรของฝ่ายนักล่าตะวันตก ยังคงมีค้างอยู่กลางแดด อิหร่านก็ต้องคิดหนัก จะเดินหน้าเต็มตัวหนุน Assad ก็ต้องแน่ใจ และใจแน่ แต่ดูเหมือนอิหร่านจะเลือกใช้ถนน One way ถอยลำบากเสียแล้วกระมัง เรื่อง ศักยภาพทางอาวุธ หน่วยข่าวกรองรับจ๊อบ กระซิบบอกค่ายเสี่ยใหญ่ซาอุดิว่า อิหร่านมีจรวดพิสัยกลางแน่นอน ขนาดกำลังพอดีกับเป้าหมายแถวอ่าว และเชื่อว่าอาวุธของอิหร่าน มีอานุภาพขั้นทำลายได้รุนแรง จริงจัง ไม่ใช่แค่ลำลายตึกและประชาชนเท่านั้น ข่าวนี้ทำให้ค่ายเสี่ยใหญ่ ฮึดสู้ทุ่มทุนซื้ออาวุธจากลูกพี่เพิ่มขึ้นตลอดทุกปี เงินหนานี่ จะเอาอะไรล่ะ ลูกพี่มีให้ทั้งนั้น แต่ที่ สำคัญ ตราบใดที่ลูกพี่มหามิตร นักล่าใบตองแห้ง ยังเป็นมหามิตรยืนอยู่ข้างหลังค่ายเสี่ยใหญ่อยู่ตลอดกาล อิหร่านต่างหาก จะกลายเป็นใบตองแห้งเสียเอง ดังนั้นค่ายเสี่ยใหญ่จึงต้องทำทุกอย่าง ให้ลูกพี่ประกาศออกมาต่อหน้าโลก ไม่ใช่มาทำกระซิบกระซาบเบาๆ แบบเหนียมอาย ว่าลูกพี่พร้อมที่จะปกป้องค่ายเสี่ยใหญ่ มายืนติดอยู่ข้างหลังเหมือนเอากาวทาติดตัวไว้ตลอด ได้ยินไหมคร๊าบ ลูกพี่ ส่วน ค่ายอิหร่าน เจ้าของนิวเคลียร์ 2 ลูก ตอบขรึมๆว่า ก็คอยดูไปก็แล้วกัน เรื่องอาวุธไม่จำเป็นต้องคุย ใครๆก็รู้ว่าเสือซุ่มน่ากลัวแค่ไหน ส่วนจะมีใครยืนอยู่ข้างหลังโดยไม่ต้องใช้กาวทาติดไว้ ก็คอยดูไปอีกเช่นกัน แหม! ตอบแบบพระเอกเลยนะ เรื่อง อาวุธนิวเคลียร์ ค่ายเสี่ยใหญ่ซาอุดิ คิดว่า เราก็เป็นเสี่ยใหญ่มีเงินหนา ทำไมเราจะซื้อหามามั่งไม่ได้ ข่าวเขาว่า ซาอุดิ กำลังปรับสมรรถนะเครื่องยิงจรวดวิถีไกล ที่ซื้อมาจากจีนอยู่อย่างเคร่งเครียด ข่าวนี้เขียนไปแล้วแต่หาเครื่องกรองไม่เจอ จึงไม่รับรองความแม่นยำนะครับ และมีข่าวว่า ปากีสถานก็อาจจะแบ่งขายอาวุธนิวเคลียร์ของตนให้เสี่ยซาอุดิด้วย เพราะเป็นมิตรรักร่วมใช้กระเป๋าของเสี่ยซาอุดิมานานแล้ว แค่นี้ทำไมจะปันแบ่งกันให้ไม่ได้ นี่พูดแบบหนังแขกเลยนะ ยังกะมันแบ่ง มันขายกันให้ง่ายๆงั้นแหละ เฮ้อ! พูดกับคนรวยนี่เหนื่อยนะ เอะอะก็เรามีเงิน เดี๋ยวซื้อนี่ ซื้อนั่น คำว่าสร้างน่ะรู้จักกันบ้างไหม นิวเคลียร์นะเขาสร้างกันนานแค่ไหน บารมี ก็เหมือนกัน ซื้อไม่ได้ นะครับ เขาต้องสร้างเอง สร้างนานด้วย ไอ้ที่ขึ้นมาเป็นใหญ่ปุ๊บปั๊บ ดังคับจอ บอกบารมีเต็มเปี่ยมน่ะ ถูกหลอกต้มทั้งนั้นแหละ เดี๋ยวก็รู้สึก นอก เรื่องไปหน่อย กลับมาเรื่องเสี่ยซาอุดิ ซึ่งยังเชื่อว่า เรื่องอาวุธนิวเคลียร์ของอิหร่าน น่าจะยังอยู่ในขั้นพัฒนา ไม่ใช่อยู่ในขั้นใช้การได้จริงๆ และถ้าจะใช้จริง ของดีที่อิสราเอลมีอยู่ ก็น่าจะมีอานุภาพมากกว่าของอิหร่าน ในฐานะสังกัดลูกพี่เดียวกัน ใจคออิสราเอลจะทิ้งให้ซาอุดิลำบากหรือ แหม! เสี่ยใหญ่คิดแบบนี้ละซิ มันถึงจะเจ๊งเอาจนได้ เวลาจะใช้นิวเคลียร์ของเขาก็ดันนับญาติ เวลาหมั่นไส้ ก็หาว่ายิวฮุบแผ่นดินอาหรับแล้วก็ส่งลูกกระเป๋งไปโซ๊ย ลูกพี่ใบตองแห้งฝากเตือนมานะเสี่ย ส่วนค่ายอิหร่าน เสี่ยนิวเคลียร์ 2 ลูกอยู่ในกระเป๋ากางเกง เหมือนเดิม พูดเรื่องอาวุธทีไร ต้องทำหน้าขรึม บอกว่า เราจะมีถึงขั้นใช้การได้หรือไม่ อีกหน่อยก็คงรู้กัน พูดซะหล่อเลย แม้ ทั้ง 2 ค่ายกลางทะเลทราย จะมีมุมมองในเรื่องต่างๆ คนละทิศกัน แต่ทั้ง 2 ค่าย ต่างก็เป็นหมากในกระดานของเกมชิงโลก แม้สถานะของหมากจะต่างกัน แต่มันขึ้นกับลูกพี่ใหญ่คนเดินหมาก ที่มีเป้าชิงโลกใบนี้ ให้อยู่ในมือตนแต่ผู้เดียว จะเดินหมากต่อไปอย่างไร สวัสดีครับ
คนเล่านิทาน
2 ตุลาคม 2557
    0 Comments 0 Shares 226 Views 0 Reviews
  • พ่อค้าแม่ค้าสบายใจได้ รองนายกฯ และ รมว.คลัง ปฏิเสธข่าวลือร้านค้า ที่เข้าร่วม ‘คนละครึ่งพลัส” จะถูกตรวจภาษีย้อนหลัง ยืนยันข้อมูลร้านค้าเป็นความลับ ไม่เปิดเผยหรือส่งให้สรรพากร ตรวจภาษีย้อนหลัง
    พ่อค้าแม่ค้าสบายใจได้ รองนายกฯ และ รมว.คลัง ปฏิเสธข่าวลือร้านค้า ที่เข้าร่วม ‘คนละครึ่งพลัส” จะถูกตรวจภาษีย้อนหลัง ยืนยันข้อมูลร้านค้าเป็นความลับ ไม่เปิดเผยหรือส่งให้สรรพากร ตรวจภาษีย้อนหลัง
    Like
    2
    1 Comments 0 Shares 277 Views 0 0 Reviews
  • “Intel 18A พร้อมลุยผลิตจริง! บรรลุสถิติใหม่ด้านความแม่นยำ เตรียมท้าชน TSMC และ Samsung ในสนาม 2nm”

    ในงาน Intel Tech Tour ล่าสุด Intel ได้ประกาศความสำเร็จครั้งสำคัญของกระบวนการผลิตชิปรุ่นใหม่ “18A” (1.8 นาโนเมตร) ซึ่งสามารถลด “defect density” หรือความหนาแน่นของข้อบกพร่องในเวเฟอร์ลงสู่ระดับต่ำที่สุดในประวัติศาสตร์ของบริษัท ถือเป็นสัญญาณชัดเจนว่า Intel พร้อมเข้าสู่การผลิตในระดับปริมาณมาก (volume production) ภายในไตรมาส 4 ปี 2025

    Defect density คือจำนวนข้อบกพร่องต่อพื้นที่ของเวเฟอร์ ซึ่งมีผลโดยตรงต่อ “yield rate” หรืออัตราการผลิตชิปที่ใช้งานได้จริง หาก defect สูง ยิ่งทำให้ต้นทุนต่อชิปเพิ่มขึ้น และลดความสามารถในการแข่งขัน โดยเฉพาะในยุคที่ชิปมีขนาดใหญ่และซับซ้อนมากขึ้น เช่น ชิปสำหรับ AI และ HPC (High Performance Computing)

    ก่อนหน้านี้ มีรายงานว่า yield ของ 18A ต่ำเพียง 10% แต่ปัจจุบัน Intel ยืนยันว่าตัวเลขดังกล่าวไม่เป็นความจริง และบริษัทได้ปรับปรุงกระบวนการจนสามารถลด defect ได้อย่างมีนัยสำคัญ ทำให้มั่นใจว่าจะสามารถผลิตชิป 18A ได้ในปริมาณมากภายในสิ้นปีนี้

    แม้ defect density จะเป็นเพียงหนึ่งในหลายปัจจัยที่กำหนดความสำเร็จของกระบวนการผลิต เช่น ความแม่นยำของหน้ากาก (mask error), ความลื่นไหลของกระบวนการ และอัตราความล้มเหลวของพารามิเตอร์ แต่การที่ Intel สามารถลด defect ได้มากขนาดนี้ ทำให้ 18A กลายเป็นคู่แข่งที่น่ากลัวของ TSMC N2 และ Samsung SF2 ซึ่งเป็นกระบวนการระดับ 2nm เช่นกัน

    ข้อมูลสำคัญจากข่าว
    Intel ประกาศว่า 18A มี defect density ต่ำที่สุดในประวัติศาสตร์ของบริษัท
    เตรียมเข้าสู่การผลิตระดับปริมาณมากในไตรมาส 4 ปี 2025
    Defect density ต่ำหมายถึง yield rate สูงขึ้น และต้นทุนต่อชิปลดลง
    18A ถูกออกแบบมาเพื่อรองรับชิปขนาดใหญ่ เช่น สำหรับ HPC และ AI
    Intel ปฏิเสธข่าวลือว่า yield ต่ำเพียง 10% โดยระบุว่าตัวเลขดังกล่าวไม่เป็นจริง
    18A มีเป้าหมายแข่งขันกับ TSMC N2 และ Samsung SF2
    Defect density เป็นตัวชี้วัดสำคัญในการประเมินความพร้อมของกระบวนการผลิต

    ข้อมูลเสริมจากภายนอก
    18A ใช้เทคโนโลยี RibbonFET (GAA) และ PowerVia (backside power delivery)
    TSMC N2 มี yield ประมาณ 65% และใช้เทคโนโลยี nanosheet GAA
    Samsung SF2 มี yield ประมาณ 40% และยังไม่พร้อมผลิตจำนวนมากจนถึงปี 2026
    Intel วางแผนใช้ 18A กับชิปรุ่น Panther Lake (Core Ultra 300)
    ตลาดเป้าหมายของ 18A คือ HPC, AI, และลูกค้า Foundry ภายนอก เช่น Qualcomm

    https://wccftech.com/intel-18a-node-achieves-record-low-defect-density/
    🔬 “Intel 18A พร้อมลุยผลิตจริง! บรรลุสถิติใหม่ด้านความแม่นยำ เตรียมท้าชน TSMC และ Samsung ในสนาม 2nm” ในงาน Intel Tech Tour ล่าสุด Intel ได้ประกาศความสำเร็จครั้งสำคัญของกระบวนการผลิตชิปรุ่นใหม่ “18A” (1.8 นาโนเมตร) ซึ่งสามารถลด “defect density” หรือความหนาแน่นของข้อบกพร่องในเวเฟอร์ลงสู่ระดับต่ำที่สุดในประวัติศาสตร์ของบริษัท ถือเป็นสัญญาณชัดเจนว่า Intel พร้อมเข้าสู่การผลิตในระดับปริมาณมาก (volume production) ภายในไตรมาส 4 ปี 2025 Defect density คือจำนวนข้อบกพร่องต่อพื้นที่ของเวเฟอร์ ซึ่งมีผลโดยตรงต่อ “yield rate” หรืออัตราการผลิตชิปที่ใช้งานได้จริง หาก defect สูง ยิ่งทำให้ต้นทุนต่อชิปเพิ่มขึ้น และลดความสามารถในการแข่งขัน โดยเฉพาะในยุคที่ชิปมีขนาดใหญ่และซับซ้อนมากขึ้น เช่น ชิปสำหรับ AI และ HPC (High Performance Computing) ก่อนหน้านี้ มีรายงานว่า yield ของ 18A ต่ำเพียง 10% แต่ปัจจุบัน Intel ยืนยันว่าตัวเลขดังกล่าวไม่เป็นความจริง และบริษัทได้ปรับปรุงกระบวนการจนสามารถลด defect ได้อย่างมีนัยสำคัญ ทำให้มั่นใจว่าจะสามารถผลิตชิป 18A ได้ในปริมาณมากภายในสิ้นปีนี้ แม้ defect density จะเป็นเพียงหนึ่งในหลายปัจจัยที่กำหนดความสำเร็จของกระบวนการผลิต เช่น ความแม่นยำของหน้ากาก (mask error), ความลื่นไหลของกระบวนการ และอัตราความล้มเหลวของพารามิเตอร์ แต่การที่ Intel สามารถลด defect ได้มากขนาดนี้ ทำให้ 18A กลายเป็นคู่แข่งที่น่ากลัวของ TSMC N2 และ Samsung SF2 ซึ่งเป็นกระบวนการระดับ 2nm เช่นกัน ✅ ข้อมูลสำคัญจากข่าว ➡️ Intel ประกาศว่า 18A มี defect density ต่ำที่สุดในประวัติศาสตร์ของบริษัท ➡️ เตรียมเข้าสู่การผลิตระดับปริมาณมากในไตรมาส 4 ปี 2025 ➡️ Defect density ต่ำหมายถึง yield rate สูงขึ้น และต้นทุนต่อชิปลดลง ➡️ 18A ถูกออกแบบมาเพื่อรองรับชิปขนาดใหญ่ เช่น สำหรับ HPC และ AI ➡️ Intel ปฏิเสธข่าวลือว่า yield ต่ำเพียง 10% โดยระบุว่าตัวเลขดังกล่าวไม่เป็นจริง ➡️ 18A มีเป้าหมายแข่งขันกับ TSMC N2 และ Samsung SF2 ➡️ Defect density เป็นตัวชี้วัดสำคัญในการประเมินความพร้อมของกระบวนการผลิต ✅ ข้อมูลเสริมจากภายนอก ➡️ 18A ใช้เทคโนโลยี RibbonFET (GAA) และ PowerVia (backside power delivery) ➡️ TSMC N2 มี yield ประมาณ 65% และใช้เทคโนโลยี nanosheet GAA ➡️ Samsung SF2 มี yield ประมาณ 40% และยังไม่พร้อมผลิตจำนวนมากจนถึงปี 2026 ➡️ Intel วางแผนใช้ 18A กับชิปรุ่น Panther Lake (Core Ultra 300) ➡️ ตลาดเป้าหมายของ 18A คือ HPC, AI, และลูกค้า Foundry ภายนอก เช่น Qualcomm https://wccftech.com/intel-18a-node-achieves-record-low-defect-density/
    WCCFTECH.COM
    Intel’s 'Highly-Anticipated' 18A Node Achieves Record-Low Defect Density, Signaling Readiness for Internal & External Customers
    Intel has revealed progress around the 18A chip at the Tech Tour, and the process is at an all-time low in defect density.
    0 Comments 0 Shares 157 Views 0 Reviews
  • เปิดลับ! "ลูกท็อป" เจอข่าวลือย้ายพรรคสีแดง ทาบเก้าอี้ สส. "วราวุธ-ทักษิณ" สัมพันธ์ลึก กลัวเสี่ยงเสียสมบัติการเมืองสีชมพู

    อ่านต่อ..https://news1live.com/detail/9680000096975


    #News1live #News1 #Sondhitalk #SondhiX #คุยทุกเรื่องกับสนธิ #สนธิเล่าเรื่อง #Thaitimes #กัมพูชายิงก่อน #ไทยนี้รักสงบแต่ถึงรบไม่ขลาด #CambodiaOpenedFire #เขมรลักลอบวางระเบิด
    เปิดลับ! "ลูกท็อป" เจอข่าวลือย้ายพรรคสีแดง ทาบเก้าอี้ สส. "วราวุธ-ทักษิณ" สัมพันธ์ลึก กลัวเสี่ยงเสียสมบัติการเมืองสีชมพู อ่านต่อ..https://news1live.com/detail/9680000096975 #News1live #News1 #Sondhitalk #SondhiX #คุยทุกเรื่องกับสนธิ #สนธิเล่าเรื่อง #Thaitimes #กัมพูชายิงก่อน #ไทยนี้รักสงบแต่ถึงรบไม่ขลาด #CambodiaOpenedFire #เขมรลักลอบวางระเบิด
    Like
    2
    0 Comments 0 Shares 284 Views 0 Reviews
  • เหยื่อติดคอ ตอนที่ 2
    นิทานเรื่องจริง เรื่อง “เหยื่อติดคอ”
    ตอนที่ 2

    อเมริกาเอาจริงเรื่องอิหร่าน ขนเอาเจ้าหน้าที่ของ CIA เข้ามาตั้งหน่วยงานใหญ่อยู่ในอิหร่าน เกาะติดทุกรายการ ทุกเป้าหมาย โดยเฉพาะเอาเข้าไปคลุกคลีอยู่กับนักการเมือง นักการเงิน และพวกอีลิตของอิห ร่าน Dr. Taqi ชาวอิหร่านสาย CIA รับหน้าที่กล่อมมงกุฎราชกุมาร Abdoreza และนายพล Ali Razmara รายหลังแสดงความสนใจที่จะผูกมิตรจับมือร่วมงานกับอเมริกา เขาบอกกับ Dr. Taqiว่า ถ้าอเมริกาจัดการให้เขาเป็นนายกรัฐมนตรีอิหร่านได้ เขาก็จะตั้งให้ Dr. Taqi เป็นรัฐมนตรีคุมเศรษฐกิจอิหร่าน เราผลัดกันเกาหลังให้กันไงเพื่อน! แล้วเราจะได้วางแผนเขี่ยอังกฤษออกไปจากอิหร่านได้เสียที

    ค.ศ.1950 Shah ก็แต่งตั้งให้นายพล Razmara เป็นนายกรัฐมนตรี

    นายพล Razmara ในฐานะนายกรัฐมนตรี ปลดพวกข้าราชการระดับสูง 400 คนทันที และลงนามในสัญญา “Point Four” กับอเมริกา ซึ่งมีผลให้อเมริกาเข้า ไปมีอิทธิพลในอิหร่านภายใต้เสื้อคลุมการพัฒนาเศรษฐกิจ นี่ผมเขียนเรื่องอิหร่านนะครับ แม้มันจะดูคล้ายกับเหตุการณ์ในแดนสมันน้อยก็ตาม

    แต่สำหรับเรื่อง Anglo-Persian Oil อะไรคงยังจุกคอท่านนายพล Razmara อยู่ เขาไม่ขยับตามที่อเมริกาต้องการ แถมออกกฏหมายรับรอง Anglo-Persian Oil ให้ควบคุมน้ำมันของอิหร่านต่อ นี่ลองของหรือไง หลังจากนั้นไม่นานท่านนายพล Razmara ก็ถูกฆาตกรรม เก็บลงหีบเรียบร้อย เขาเล่นกันแรงจริง

    สภาผู้แทนอิหร่านหรือที่เรียกว่า Majlis ซึ่ง Col. Schwazkopf มีอิทธิพลครอบอยู่ จึงจับมือ Shah ให้ตั้ง Mohammed Mossadeq เป็นนายกรัฐมนตรีแทนนายพล Razmara

    Mossadeq เป็นนักการเมืองที่มีความสามารถ และเป็นที่นิยมของชาวอิหร่าน เพราะเขาประกาสต่อต้านอิทธิพลของอังกฤษอย่างเปิดเผยมาตลอด จนอังกฤษทนไม่ไหว และขับให้เขาออกนอกประเทศไปตั้งแต่ ปี ค.ศ.1919 แต่ใน ค.ศ.1921 อังกฤษก็สั่งให้ Reza Khan เรียกตัว Mossadeq กลับอิหร่านใหม่ หวังเอาฐานเสียงของ Mossadeq มาสนับสนุน Khan ซึ่งกำลังง่อนแง่น แต่เมื่อ Khan ตั้งตัวเองเป็น Shah ในปี ค.ศ.1925 Mossadeq คัดค้านอย่างรุนแรง และบอกว่า นี่เป็นการยุยงของอังกฤษที่จะทำให้อิหร่านล่ม ความคิดเช่นนี้ของ Mossadeq เข้าทางอเมริกาที่กำลังหาคนไป แซะอังกฤษออกจากอิหร่าน ดังนั้นเมื่อ Mossadeq ได้เป็นนายกรัฐมนตรี สมใจอเมริกา และปฏิบัติการยึด Anglo-Iranian Oil จากอังกฤษ มาเป็นของอิหร่าน จึงไม่ถูกต้านทานจากอเมริกา อเมริกาน่าจะประเมิน Mossadeq พลาด
    การยึด Anglo-Iranian Oil อังกฤษเต้นเป็นเจ้าเข้า โกรธจนเกาะใหญ่เท่าปลายนิ้วก้อยฯ กระเทือนยังกับแผ่นดินไหว เตรียมกองทัพจะมาขยี้อิหร่าน อังกฤษซ้อมค้าง ชวนอเมริกาไปขยี้อิหร่านด้วยกัน อเมริกาบอกว่าไม่เห็นด้วยกับการจะใช้กำลังกับอิหร่าน (แหม! ไต๋โผล่เร็วจัง) เจรจากับอิหร่านดีกว่าน่าลูกพี่ อังกฤษไม่ยอม วิ่งจนหัวล้านเปียก ใช้ช่องทางของสหประชาชาติประกาศคว่ำบาตรน้ำมันอิหร่าน และสั่งรวบรวมเด็กในคาถาที่อยู่อิหร่านเตรียมตัวปฏิวัติโค่น Mossadeq อเมริกาไม่เล่นด้วย เราเล่นเองก็ได้ ชาวเกาะชักย๊วะ

    การคว่ำบาตรน้ำมันอิหร่าน ทำให้ตลาดโลกน้ำมันปั่นป่วน หลังจากนั้นก็มีข่าวลือในวอซิงตันว่อนตามโต๊ะทำงานว่า สหภาพโซเวียตฉวยโอกาสนี้ ยุทหารอิหร่านทำการปฏิวัติและส่งเสริมระบอบคอมมิวนิตส์ในอิหร่านเสียเอง ฮื่ม แก้เกมเก่งนะลูกพี่ แบบนี้อเมริกาก็เลิกลั่ก หันไม่ถูกทางเหมือนกัน

    แล้วอเมริกาก็สะดุดกับดักของอังกฤษ หันกลับมากดดัน Mossadeq ให้ประนีประนอมกับอังกฤษ Mossadeq ปฏิเสธ อเมริกาชักไม่ชอบใจที่สั่งขวาหันกับ Mossadeq ไม่ได้ อเมริกายังไม่ตัดสินใจว่าจะจัดการอย่างไรดี ข่าวลือมาอีกรอบ น่าสงสัยว่า Mossadeq จะทำงานร่วมกับสหภาพโซเวียตผ่านพวกคอมมิวนิตส์ในอิหร่าน คราวนี้อเมริกาเป็นฝ่านเต้น เข้าใจเล่นจริงลูกพี่ แล้ว CIA ก็จับมือกับหน่วยราชการลับอังกฤษ MI6 จัดการให้มีการปฏิวัติโค่นล้มรัฐบาล Mossadeq ในปี ค.ศ.1953 CIA เรียกว่า “Operation Ajax”

    Operation Ajax ทำให้ Shah Mohammud Reza Pahlavi กลับมาสู่อำนาจอีกครั้ง และเขารู้ว่าเขาควรจะขอบคุณใคร บ้าง วงการข่าวเล่ากันว่า ในวันครองบัลลังก์ Shah ได้พูดว่ากับนาย Kermit Roosevelt Jr. หัวหน้า CIA ประจำอิหร่านว่า “ขอบคุณพระเจ้า ประชาชนของเรา กองทัพของเรา และท่าน !”

    หลังจาการปฏิวัติ บริษัทน้ำมันที่อิหร่านยึดมาเป็นของรัฐ ใช้ชื่อว่า National Iranian Oil Company ก็จริง แต่การควบคุมการผลิตและการขายน้ำมันอิหร่าน ตกอยู่ในกำมือของกลุ่มบรรษัทน้ำมันข้ามชาติ ซึ่งแน่นอน พ่วงเอา 5 บริษัทยักษ์ใหญ่ของอเมริกาเข้าไปด้วย กลุ่มอเมริกาได้รับหุ้นน้ำมัน หอมชื่นใจไป 40% ส่วนของ Anglo-Iranian Oil ของอังกฤษ ซึ่งต่อมาเปลี่ยนชื่อเป็น British Petroleum หรือ BP ถูกลดลงมาเหลือ 40% ฝรั่งเศสและดัชท์ในฐานะผู้เข้าร่วมแสดงได้ 20% เหลือ 40% ดีกว่าเหลือแต่ถังน้ำมันเปล่าๆ นักล่าชาวเกาะใหญ่เท่าปลายนิ้วก้อยฯ คงรำพึงด้วยความซ้ำใจ

    ผลของการยึดบริษัทน้ำมันมาเป็นของรัฐและแรงกดดันของประชาชน ทำให้ส่วนแบ่งรายได้น้ำมันของอิหร่านเพิ่มขึ้นเป็น 50% แต่อิหร่านไม่ได้รับอนุญาตให้ตรวจสอบสมุดบัญชีบริษัท มันเป็นชัยชนะที่จอมปลอม เหมือนชัยชนะของการต่อสู้โดยประชาชนส่วนใหญ่ ที่สุดท้ายแล้วก็โดนหลอกโดนต้มเหมือนเดิม

    สวัสดีครับ
    คนเล่านิทาน
    22 กันยายน 2557
    เหยื่อติดคอ ตอนที่ 2 นิทานเรื่องจริง เรื่อง “เหยื่อติดคอ” ตอนที่ 2 อเมริกาเอาจริงเรื่องอิหร่าน ขนเอาเจ้าหน้าที่ของ CIA เข้ามาตั้งหน่วยงานใหญ่อยู่ในอิหร่าน เกาะติดทุกรายการ ทุกเป้าหมาย โดยเฉพาะเอาเข้าไปคลุกคลีอยู่กับนักการเมือง นักการเงิน และพวกอีลิตของอิห ร่าน Dr. Taqi ชาวอิหร่านสาย CIA รับหน้าที่กล่อมมงกุฎราชกุมาร Abdoreza และนายพล Ali Razmara รายหลังแสดงความสนใจที่จะผูกมิตรจับมือร่วมงานกับอเมริกา เขาบอกกับ Dr. Taqiว่า ถ้าอเมริกาจัดการให้เขาเป็นนายกรัฐมนตรีอิหร่านได้ เขาก็จะตั้งให้ Dr. Taqi เป็นรัฐมนตรีคุมเศรษฐกิจอิหร่าน เราผลัดกันเกาหลังให้กันไงเพื่อน! แล้วเราจะได้วางแผนเขี่ยอังกฤษออกไปจากอิหร่านได้เสียที ค.ศ.1950 Shah ก็แต่งตั้งให้นายพล Razmara เป็นนายกรัฐมนตรี นายพล Razmara ในฐานะนายกรัฐมนตรี ปลดพวกข้าราชการระดับสูง 400 คนทันที และลงนามในสัญญา “Point Four” กับอเมริกา ซึ่งมีผลให้อเมริกาเข้า ไปมีอิทธิพลในอิหร่านภายใต้เสื้อคลุมการพัฒนาเศรษฐกิจ นี่ผมเขียนเรื่องอิหร่านนะครับ แม้มันจะดูคล้ายกับเหตุการณ์ในแดนสมันน้อยก็ตาม แต่สำหรับเรื่อง Anglo-Persian Oil อะไรคงยังจุกคอท่านนายพล Razmara อยู่ เขาไม่ขยับตามที่อเมริกาต้องการ แถมออกกฏหมายรับรอง Anglo-Persian Oil ให้ควบคุมน้ำมันของอิหร่านต่อ นี่ลองของหรือไง หลังจากนั้นไม่นานท่านนายพล Razmara ก็ถูกฆาตกรรม เก็บลงหีบเรียบร้อย เขาเล่นกันแรงจริง สภาผู้แทนอิหร่านหรือที่เรียกว่า Majlis ซึ่ง Col. Schwazkopf มีอิทธิพลครอบอยู่ จึงจับมือ Shah ให้ตั้ง Mohammed Mossadeq เป็นนายกรัฐมนตรีแทนนายพล Razmara Mossadeq เป็นนักการเมืองที่มีความสามารถ และเป็นที่นิยมของชาวอิหร่าน เพราะเขาประกาสต่อต้านอิทธิพลของอังกฤษอย่างเปิดเผยมาตลอด จนอังกฤษทนไม่ไหว และขับให้เขาออกนอกประเทศไปตั้งแต่ ปี ค.ศ.1919 แต่ใน ค.ศ.1921 อังกฤษก็สั่งให้ Reza Khan เรียกตัว Mossadeq กลับอิหร่านใหม่ หวังเอาฐานเสียงของ Mossadeq มาสนับสนุน Khan ซึ่งกำลังง่อนแง่น แต่เมื่อ Khan ตั้งตัวเองเป็น Shah ในปี ค.ศ.1925 Mossadeq คัดค้านอย่างรุนแรง และบอกว่า นี่เป็นการยุยงของอังกฤษที่จะทำให้อิหร่านล่ม ความคิดเช่นนี้ของ Mossadeq เข้าทางอเมริกาที่กำลังหาคนไป แซะอังกฤษออกจากอิหร่าน ดังนั้นเมื่อ Mossadeq ได้เป็นนายกรัฐมนตรี สมใจอเมริกา และปฏิบัติการยึด Anglo-Iranian Oil จากอังกฤษ มาเป็นของอิหร่าน จึงไม่ถูกต้านทานจากอเมริกา อเมริกาน่าจะประเมิน Mossadeq พลาด การยึด Anglo-Iranian Oil อังกฤษเต้นเป็นเจ้าเข้า โกรธจนเกาะใหญ่เท่าปลายนิ้วก้อยฯ กระเทือนยังกับแผ่นดินไหว เตรียมกองทัพจะมาขยี้อิหร่าน อังกฤษซ้อมค้าง ชวนอเมริกาไปขยี้อิหร่านด้วยกัน อเมริกาบอกว่าไม่เห็นด้วยกับการจะใช้กำลังกับอิหร่าน (แหม! ไต๋โผล่เร็วจัง) เจรจากับอิหร่านดีกว่าน่าลูกพี่ อังกฤษไม่ยอม วิ่งจนหัวล้านเปียก ใช้ช่องทางของสหประชาชาติประกาศคว่ำบาตรน้ำมันอิหร่าน และสั่งรวบรวมเด็กในคาถาที่อยู่อิหร่านเตรียมตัวปฏิวัติโค่น Mossadeq อเมริกาไม่เล่นด้วย เราเล่นเองก็ได้ ชาวเกาะชักย๊วะ การคว่ำบาตรน้ำมันอิหร่าน ทำให้ตลาดโลกน้ำมันปั่นป่วน หลังจากนั้นก็มีข่าวลือในวอซิงตันว่อนตามโต๊ะทำงานว่า สหภาพโซเวียตฉวยโอกาสนี้ ยุทหารอิหร่านทำการปฏิวัติและส่งเสริมระบอบคอมมิวนิตส์ในอิหร่านเสียเอง ฮื่ม แก้เกมเก่งนะลูกพี่ แบบนี้อเมริกาก็เลิกลั่ก หันไม่ถูกทางเหมือนกัน แล้วอเมริกาก็สะดุดกับดักของอังกฤษ หันกลับมากดดัน Mossadeq ให้ประนีประนอมกับอังกฤษ Mossadeq ปฏิเสธ อเมริกาชักไม่ชอบใจที่สั่งขวาหันกับ Mossadeq ไม่ได้ อเมริกายังไม่ตัดสินใจว่าจะจัดการอย่างไรดี ข่าวลือมาอีกรอบ น่าสงสัยว่า Mossadeq จะทำงานร่วมกับสหภาพโซเวียตผ่านพวกคอมมิวนิตส์ในอิหร่าน คราวนี้อเมริกาเป็นฝ่านเต้น เข้าใจเล่นจริงลูกพี่ แล้ว CIA ก็จับมือกับหน่วยราชการลับอังกฤษ MI6 จัดการให้มีการปฏิวัติโค่นล้มรัฐบาล Mossadeq ในปี ค.ศ.1953 CIA เรียกว่า “Operation Ajax” Operation Ajax ทำให้ Shah Mohammud Reza Pahlavi กลับมาสู่อำนาจอีกครั้ง และเขารู้ว่าเขาควรจะขอบคุณใคร บ้าง วงการข่าวเล่ากันว่า ในวันครองบัลลังก์ Shah ได้พูดว่ากับนาย Kermit Roosevelt Jr. หัวหน้า CIA ประจำอิหร่านว่า “ขอบคุณพระเจ้า ประชาชนของเรา กองทัพของเรา และท่าน !” หลังจาการปฏิวัติ บริษัทน้ำมันที่อิหร่านยึดมาเป็นของรัฐ ใช้ชื่อว่า National Iranian Oil Company ก็จริง แต่การควบคุมการผลิตและการขายน้ำมันอิหร่าน ตกอยู่ในกำมือของกลุ่มบรรษัทน้ำมันข้ามชาติ ซึ่งแน่นอน พ่วงเอา 5 บริษัทยักษ์ใหญ่ของอเมริกาเข้าไปด้วย กลุ่มอเมริกาได้รับหุ้นน้ำมัน หอมชื่นใจไป 40% ส่วนของ Anglo-Iranian Oil ของอังกฤษ ซึ่งต่อมาเปลี่ยนชื่อเป็น British Petroleum หรือ BP ถูกลดลงมาเหลือ 40% ฝรั่งเศสและดัชท์ในฐานะผู้เข้าร่วมแสดงได้ 20% เหลือ 40% ดีกว่าเหลือแต่ถังน้ำมันเปล่าๆ นักล่าชาวเกาะใหญ่เท่าปลายนิ้วก้อยฯ คงรำพึงด้วยความซ้ำใจ ผลของการยึดบริษัทน้ำมันมาเป็นของรัฐและแรงกดดันของประชาชน ทำให้ส่วนแบ่งรายได้น้ำมันของอิหร่านเพิ่มขึ้นเป็น 50% แต่อิหร่านไม่ได้รับอนุญาตให้ตรวจสอบสมุดบัญชีบริษัท มันเป็นชัยชนะที่จอมปลอม เหมือนชัยชนะของการต่อสู้โดยประชาชนส่วนใหญ่ ที่สุดท้ายแล้วก็โดนหลอกโดนต้มเหมือนเดิม สวัสดีครับ คนเล่านิทาน 22 กันยายน 2557
    0 Comments 0 Shares 218 Views 0 Reviews
  • “TSMC เปิดราคาชิป 2nm — แพงขึ้นไม่มาก แต่มีเงื่อนไขซ่อนอยู่ที่ลูกค้าต้องรับมือ”

    หลังจากมีข่าวลือว่าชิป 2nm จาก TSMC จะมีราคาสูงกว่ารุ่น 3nm ถึง 50% ล่าสุดมีรายงานใหม่ระบุว่าราคาจริงของแผ่นเวเฟอร์ 2nm จะเพิ่มขึ้นเพียง 10–20% เท่านั้นเมื่อเทียบกับรุ่น 3nm อย่าง N3P และ N3E แต่ความจริงที่ซ่อนอยู่คือ TSMC กำลังปรับราคาของเวเฟอร์ 3nm ให้สูงขึ้นด้วย ทำให้ช่องว่างระหว่างรุ่นดูแคบลง

    ราคาของเวเฟอร์ 2nm ยังคงอยู่ที่ประมาณ $30,000 ต่อแผ่น ซึ่งเป็นราคาที่สูงมากสำหรับการผลิตชิปในระดับผู้บริโภค โดยเฉพาะเมื่อเทียบกับเวเฟอร์ 3nm ที่เคยอยู่ที่ $25,000–$27,000 แต่กำลังถูกปรับขึ้นเพื่อให้การเปลี่ยนผ่านไปยัง 2nm ดู “สมเหตุสมผล”

    Qualcomm และ MediaTek เป็นสองบริษัทที่ได้รับผลกระทบจากการเปลี่ยนไปใช้ N3P โดยต้องจ่ายเพิ่มถึง 16–24% สำหรับชิปรุ่นใหม่ เช่น Snapdragon 8 Elite Gen 5 และ Dimensity 9500 ขณะที่ Qualcomm เตรียมย้ายไปใช้ N2P สำหรับ Snapdragon 8 Elite Gen 6 ในปีหน้า

    แม้ราคาจะไม่พุ่งแรงอย่างที่คาด แต่ผลกระทบต่อราคาสินค้า เช่น สมาร์ตโฟนและแท็บเล็ต ยังคงมีอยู่ เพราะต้นทุนการผลิตที่สูงขึ้นจะถูกผลักไปยังผู้บริโภค โดยเฉพาะในยุคที่การผลิตชิปต้องใช้เทคโนโลยีขั้นสูง เช่น Gate-All-Around และ EUV ที่มีต้นทุนสูงมาก

    ข้อมูลสำคัญจากข่าว
    ราคาของเวเฟอร์ 2nm อยู่ที่ประมาณ $30,000 ต่อแผ่น
    เพิ่มขึ้นจากเวเฟอร์ 3nm เพียง 10–20% ไม่ใช่ 50% ตามข่าวลือ
    TSMC ปรับราคาของเวเฟอร์ 3nm เช่น N3E และ N3P ให้สูงขึ้น
    Qualcomm และ MediaTek ได้รับผลกระทบจากราคาที่เพิ่มขึ้น
    Qualcomm เตรียมใช้ N2P สำหรับ Snapdragon 8 Elite Gen 6
    ราคาสินค้าอิเล็กทรอนิกส์จะเพิ่มขึ้นตามต้นทุนเวเฟอร์
    การผลิตชิป 2nm ใช้เทคโนโลยี Gate-All-Around และ EUV
    TSMC เริ่มผลิต 2nm ในไตรมาสสุดท้ายของปี 2025

    ข้อมูลเสริมจากภายนอก
    Gate-All-Around เป็นเทคโนโลยีทรานซิสเตอร์ที่ลดการรั่วไหลของกระแสไฟ
    EUV (Extreme Ultraviolet Lithography) เป็นเทคนิคพิมพ์ลวดลายที่ใช้แสงความยาวคลื่นสั้น
    การผลิตเวเฟอร์ในสหรัฐฯ เช่นที่โรงงานใน Arizona มีต้นทุนสูงกว่าที่ไต้หวันถึง 20–30%
    Apple และ AMD เป็นลูกค้าหลักของ TSMC ที่เตรียมใช้ 2nm ในผลิตภัณฑ์ปี 2026
    Chiplet architecture ช่วยลดต้นทุนโดยใช้เวเฟอร์ขั้นสูงเฉพาะในส่วนสำคัญของชิป

    https://wccftech.com/tsmc-2nm-wafers-to-be-10-to-20-percent-more-expensive-than-3nm/
    💰 “TSMC เปิดราคาชิป 2nm — แพงขึ้นไม่มาก แต่มีเงื่อนไขซ่อนอยู่ที่ลูกค้าต้องรับมือ” หลังจากมีข่าวลือว่าชิป 2nm จาก TSMC จะมีราคาสูงกว่ารุ่น 3nm ถึง 50% ล่าสุดมีรายงานใหม่ระบุว่าราคาจริงของแผ่นเวเฟอร์ 2nm จะเพิ่มขึ้นเพียง 10–20% เท่านั้นเมื่อเทียบกับรุ่น 3nm อย่าง N3P และ N3E แต่ความจริงที่ซ่อนอยู่คือ TSMC กำลังปรับราคาของเวเฟอร์ 3nm ให้สูงขึ้นด้วย ทำให้ช่องว่างระหว่างรุ่นดูแคบลง ราคาของเวเฟอร์ 2nm ยังคงอยู่ที่ประมาณ $30,000 ต่อแผ่น ซึ่งเป็นราคาที่สูงมากสำหรับการผลิตชิปในระดับผู้บริโภค โดยเฉพาะเมื่อเทียบกับเวเฟอร์ 3nm ที่เคยอยู่ที่ $25,000–$27,000 แต่กำลังถูกปรับขึ้นเพื่อให้การเปลี่ยนผ่านไปยัง 2nm ดู “สมเหตุสมผล” Qualcomm และ MediaTek เป็นสองบริษัทที่ได้รับผลกระทบจากการเปลี่ยนไปใช้ N3P โดยต้องจ่ายเพิ่มถึง 16–24% สำหรับชิปรุ่นใหม่ เช่น Snapdragon 8 Elite Gen 5 และ Dimensity 9500 ขณะที่ Qualcomm เตรียมย้ายไปใช้ N2P สำหรับ Snapdragon 8 Elite Gen 6 ในปีหน้า แม้ราคาจะไม่พุ่งแรงอย่างที่คาด แต่ผลกระทบต่อราคาสินค้า เช่น สมาร์ตโฟนและแท็บเล็ต ยังคงมีอยู่ เพราะต้นทุนการผลิตที่สูงขึ้นจะถูกผลักไปยังผู้บริโภค โดยเฉพาะในยุคที่การผลิตชิปต้องใช้เทคโนโลยีขั้นสูง เช่น Gate-All-Around และ EUV ที่มีต้นทุนสูงมาก ✅ ข้อมูลสำคัญจากข่าว ➡️ ราคาของเวเฟอร์ 2nm อยู่ที่ประมาณ $30,000 ต่อแผ่น ➡️ เพิ่มขึ้นจากเวเฟอร์ 3nm เพียง 10–20% ไม่ใช่ 50% ตามข่าวลือ ➡️ TSMC ปรับราคาของเวเฟอร์ 3nm เช่น N3E และ N3P ให้สูงขึ้น ➡️ Qualcomm และ MediaTek ได้รับผลกระทบจากราคาที่เพิ่มขึ้น ➡️ Qualcomm เตรียมใช้ N2P สำหรับ Snapdragon 8 Elite Gen 6 ➡️ ราคาสินค้าอิเล็กทรอนิกส์จะเพิ่มขึ้นตามต้นทุนเวเฟอร์ ➡️ การผลิตชิป 2nm ใช้เทคโนโลยี Gate-All-Around และ EUV ➡️ TSMC เริ่มผลิต 2nm ในไตรมาสสุดท้ายของปี 2025 ✅ ข้อมูลเสริมจากภายนอก ➡️ Gate-All-Around เป็นเทคโนโลยีทรานซิสเตอร์ที่ลดการรั่วไหลของกระแสไฟ ➡️ EUV (Extreme Ultraviolet Lithography) เป็นเทคนิคพิมพ์ลวดลายที่ใช้แสงความยาวคลื่นสั้น ➡️ การผลิตเวเฟอร์ในสหรัฐฯ เช่นที่โรงงานใน Arizona มีต้นทุนสูงกว่าที่ไต้หวันถึง 20–30% ➡️ Apple และ AMD เป็นลูกค้าหลักของ TSMC ที่เตรียมใช้ 2nm ในผลิตภัณฑ์ปี 2026 ➡️ Chiplet architecture ช่วยลดต้นทุนโดยใช้เวเฟอร์ขั้นสูงเฉพาะในส่วนสำคัญของชิป https://wccftech.com/tsmc-2nm-wafers-to-be-10-to-20-percent-more-expensive-than-3nm/
    WCCFTECH.COM
    TSMC’s 2nm Customers Can Take A Breather; Wafers Reportedly Only 10-20 Percent More Expensive Than 3nm But There Is A Catch
    A new report states that instead of TSMC’s 2nm wafers being 50 percent more expensive than 3nm, they will be between 10-20 percent pricier
    0 Comments 0 Shares 177 Views 0 Reviews
  • ภูมิใจไทยเนื้อหอม ข่าวลือหนาหู เอกนัฏเตรียมซบ…

    #ThaiTimes
    #News1
    #News1short
    #TruthFromThailand
    #shorts
    #ภูมิใจไทย
    #เอกนัฏ
    #การเมืองไทย
    #พรรคการเมือง
    #ข่าววันนี้
    #newsupdate
    #ข่าวtiktok
    ภูมิใจไทยเนื้อหอม ข่าวลือหนาหู เอกนัฏเตรียมซบ… #ThaiTimes #News1 #News1short #TruthFromThailand #shorts #ภูมิใจไทย #เอกนัฏ #การเมืองไทย #พรรคการเมือง #ข่าววันนี้ #newsupdate #ข่าวtiktok
    0 Comments 0 Shares 90 Views 0 0 Reviews
  • “Apple M5 Pro และ M5 Max อาจเปลี่ยนดีไซน์ชิปครั้งใหญ่ — แยก CPU กับ GPU เพื่อการปรับแต่งเฉพาะตัว”

    Apple กำลังเตรียมเปิดตัวชิป M5 Pro และ M5 Max ที่อาจมาพร้อมการเปลี่ยนแปลงครั้งสำคัญในด้านสถาปัตยกรรม โดยแหล่งข่าวระบุว่า Apple จะใช้ดีไซน์ใหม่ที่แยกบล็อก CPU และ GPU ออกจากกัน ซึ่งเปิดโอกาสให้ผู้ใช้สามารถเลือกจำนวนคอร์ของแต่ละส่วนได้ตามต้องการ เช่น เลือก GPU แบบจัดเต็มแต่ใช้ CPU เท่ารุ่นพื้นฐาน หรือกลับกัน

    ชิปทั้งสองรุ่นจะใช้เทคโนโลยีการบรรจุแบบ SoIC-MH จาก TSMC ซึ่งเป็นการวางชิปแบบแนวนอนที่ช่วยให้มีความหนาแน่นสูงขึ้น ลดขนาดและน้ำหนักของแพ็กเกจ เหมาะกับเครื่องพกพาอย่าง MacBook Pro และยังช่วยให้ระบายความร้อนได้ดีขึ้นด้วยแผ่นสัมผัสที่เปิดออก

    M5 Pro และ M5 Max จะผลิตบนเทคโนโลยี 3nm N3P ของ TSMC ซึ่งเป็นรุ่นที่พัฒนาต่อจาก N3E ที่ใช้ในชิป M4 และ A18 Bionic โดยคาดว่าจะให้ประสิทธิภาพสูงขึ้นและประหยัดพลังงานมากขึ้น

    อย่างไรก็ตาม ชิป M5 รุ่นพื้นฐานจะไม่ได้รับเทคโนโลยี SoIC-MH นี้ ทำให้ M5 Pro และ M5 Max กลายเป็นรุ่นพิเศษที่มีความสามารถในการปรับแต่งสูงกว่า และอาจเป็นครั้งแรกที่ Apple ไม่เปิดตัวชิปทั้งสามรุ่นพร้อมกัน โดยมีข่าวลือว่าการเปิดตัว M5 Pro และ M5 Max จะล่าช้าออกไป

    แม้จะมีความล่าช้า แต่ Apple ก็ยังไม่มีคู่แข่งที่สามารถเทียบเคียงได้ในด้านประสิทธิภาพ โดย M4 Max ยังคงเหนือกว่า Snapdragon X2 Elite Extreme ทั้งในด้าน single-core และ multi-core รวมถึงการประมวลผลกราฟิกใน 3DMark

    ข้อมูลสำคัญจากข่าว
    M5 Pro และ M5 Max อาจใช้ดีไซน์ชิปใหม่ที่แยก CPU กับ GPU ออกจากกัน
    ผู้ใช้สามารถเลือกจำนวนคอร์ของ CPU และ GPU ได้ตามต้องการ
    ใช้เทคโนโลยี SoIC-MH จาก TSMC ซึ่งเป็นการวางชิปแบบแนวนอน
    ช่วยลดขนาดและน้ำหนักของแพ็กเกจ เพิ่มความหนาแน่นของชิ้นส่วน
    เพิ่มประสิทธิภาพการระบายความร้อนด้วยแผ่นสัมผัสที่เปิดออก
    ผลิตบนเทคโนโลยี 3nm N3P ของ TSMC
    M5 รุ่นพื้นฐานจะไม่ได้รับเทคโนโลยี SoIC-MH
    อาจเป็นครั้งแรกที่ Apple ไม่เปิดตัวชิปทั้งสามรุ่นพร้อมกัน
    M4 Max ยังเหนือกว่า Snapdragon X2 Elite Extreme ในหลายการทดสอบ

    ข้อมูลเสริมจากภายนอก
    SoIC-MH เป็นเทคโนโลยี 2.5D ที่ช่วยให้การเชื่อมต่อระหว่างชิปมีความหนาแน่นสูง
    การแยก CPU กับ GPU อาจช่วยให้ประสิทธิภาพ AI inference ดีขึ้น
    Unified Memory Architecture (UMA) อาจถูกยกเลิกในดีไซน์ใหม่นี้
    การแยกหน่วยความจำระหว่าง CPU กับ GPU อาจเพิ่มความยืดหยุ่นในการใช้งาน
    Apple อาจใช้ดีไซน์ใหม่นี้เพื่อรองรับ Private Cloud Compute สำหรับงาน AI

    https://wccftech.com/apple-m5-pro-and-m5-max-new-chip-design-with-separate-cpu-and-gpu-blocks/
    🧩 “Apple M5 Pro และ M5 Max อาจเปลี่ยนดีไซน์ชิปครั้งใหญ่ — แยก CPU กับ GPU เพื่อการปรับแต่งเฉพาะตัว” Apple กำลังเตรียมเปิดตัวชิป M5 Pro และ M5 Max ที่อาจมาพร้อมการเปลี่ยนแปลงครั้งสำคัญในด้านสถาปัตยกรรม โดยแหล่งข่าวระบุว่า Apple จะใช้ดีไซน์ใหม่ที่แยกบล็อก CPU และ GPU ออกจากกัน ซึ่งเปิดโอกาสให้ผู้ใช้สามารถเลือกจำนวนคอร์ของแต่ละส่วนได้ตามต้องการ เช่น เลือก GPU แบบจัดเต็มแต่ใช้ CPU เท่ารุ่นพื้นฐาน หรือกลับกัน ชิปทั้งสองรุ่นจะใช้เทคโนโลยีการบรรจุแบบ SoIC-MH จาก TSMC ซึ่งเป็นการวางชิปแบบแนวนอนที่ช่วยให้มีความหนาแน่นสูงขึ้น ลดขนาดและน้ำหนักของแพ็กเกจ เหมาะกับเครื่องพกพาอย่าง MacBook Pro และยังช่วยให้ระบายความร้อนได้ดีขึ้นด้วยแผ่นสัมผัสที่เปิดออก M5 Pro และ M5 Max จะผลิตบนเทคโนโลยี 3nm N3P ของ TSMC ซึ่งเป็นรุ่นที่พัฒนาต่อจาก N3E ที่ใช้ในชิป M4 และ A18 Bionic โดยคาดว่าจะให้ประสิทธิภาพสูงขึ้นและประหยัดพลังงานมากขึ้น อย่างไรก็ตาม ชิป M5 รุ่นพื้นฐานจะไม่ได้รับเทคโนโลยี SoIC-MH นี้ ทำให้ M5 Pro และ M5 Max กลายเป็นรุ่นพิเศษที่มีความสามารถในการปรับแต่งสูงกว่า และอาจเป็นครั้งแรกที่ Apple ไม่เปิดตัวชิปทั้งสามรุ่นพร้อมกัน โดยมีข่าวลือว่าการเปิดตัว M5 Pro และ M5 Max จะล่าช้าออกไป แม้จะมีความล่าช้า แต่ Apple ก็ยังไม่มีคู่แข่งที่สามารถเทียบเคียงได้ในด้านประสิทธิภาพ โดย M4 Max ยังคงเหนือกว่า Snapdragon X2 Elite Extreme ทั้งในด้าน single-core และ multi-core รวมถึงการประมวลผลกราฟิกใน 3DMark ✅ ข้อมูลสำคัญจากข่าว ➡️ M5 Pro และ M5 Max อาจใช้ดีไซน์ชิปใหม่ที่แยก CPU กับ GPU ออกจากกัน ➡️ ผู้ใช้สามารถเลือกจำนวนคอร์ของ CPU และ GPU ได้ตามต้องการ ➡️ ใช้เทคโนโลยี SoIC-MH จาก TSMC ซึ่งเป็นการวางชิปแบบแนวนอน ➡️ ช่วยลดขนาดและน้ำหนักของแพ็กเกจ เพิ่มความหนาแน่นของชิ้นส่วน ➡️ เพิ่มประสิทธิภาพการระบายความร้อนด้วยแผ่นสัมผัสที่เปิดออก ➡️ ผลิตบนเทคโนโลยี 3nm N3P ของ TSMC ➡️ M5 รุ่นพื้นฐานจะไม่ได้รับเทคโนโลยี SoIC-MH ➡️ อาจเป็นครั้งแรกที่ Apple ไม่เปิดตัวชิปทั้งสามรุ่นพร้อมกัน ➡️ M4 Max ยังเหนือกว่า Snapdragon X2 Elite Extreme ในหลายการทดสอบ ✅ ข้อมูลเสริมจากภายนอก ➡️ SoIC-MH เป็นเทคโนโลยี 2.5D ที่ช่วยให้การเชื่อมต่อระหว่างชิปมีความหนาแน่นสูง ➡️ การแยก CPU กับ GPU อาจช่วยให้ประสิทธิภาพ AI inference ดีขึ้น ➡️ Unified Memory Architecture (UMA) อาจถูกยกเลิกในดีไซน์ใหม่นี้ ➡️ การแยกหน่วยความจำระหว่าง CPU กับ GPU อาจเพิ่มความยืดหยุ่นในการใช้งาน ➡️ Apple อาจใช้ดีไซน์ใหม่นี้เพื่อรองรับ Private Cloud Compute สำหรับงาน AI https://wccftech.com/apple-m5-pro-and-m5-max-new-chip-design-with-separate-cpu-and-gpu-blocks/
    WCCFTECH.COM
    Apple’s M5 Pro & M5 Max Rumored To Share New Chip Design With Separate CPU, GPU Blocks, Allowing For Unique Configurations, May Not Arrive With Regular M5
    It might be possible to enable unique configurations with the M5 Pro and M5 Max, as both Apple Silicon are rumored to feature a brand new design
    0 Comments 0 Shares 202 Views 0 Reviews
  • เหยื่อ – เคี้ยว ตอนที่ 3 – จอร์แดน
    นิทานเรื่องจริง เรื่อง “เหยื่อ”
ตอนที่ 3 : “เคี้ยว3”
    จอร์แดน
ก่อนสงครามโลกครั้งที่ 1 Transjordan หรือที่ปัจจุบันเรียกกันว่า Jordan ยังไม่เป็นรัฐ เป็นเพียงกลุ่มหมู่บ้าน เรียงรายอยู่บริเวณใกล้เคียง ขึ้นกับอาณาจักรออตโตมาน อังกฤษเริ่มสนใจจอร์แดนด้านการเมืองเมื่อ ค.ศ.1930 เพราะฝรั่งเศสให้ความสนใจ ! มันเป็นสันดานของชาวเกาะใหญ่เท่าปลายนิ้วก้อยฯ จะต้องคอยเฝ้าดูการเคลื่อนไหวของฝรั่งเศส แล้วหยิบไม้เตรียมใช้เสี้ยม หรือขวาง ฯลฯ อะไรทำนองนั้น
    ฝรั่งเศสอ้างว่าเป็นหน้าที่ของฝรั่งเศส ที่จะต้องเข้าไปดูแลพวกชาวคริสต์ที่อยู่ในออตโตมาน บริเวณที่เป็นจอร์แดนปัจจุบัน โดยมีผู้ปกครองอิยิปต์ขณะนั้นคือ Mohammed Ali รู้เห็นเป็นใจด้วย ทำให้อังกฤษและรัสเซียไม่พอใจ มันกำลังตบตาหลอกลวงอะไรเราหรือเปล่า แล้วอังกฤษกับรัสเซียก็จับมือกันมาออกโรงไล่ Mohammed Ali กลับอิยิปต์ไป อย่ายุ่งเรื่องของผู้ใหญ่ แล้วผู้ใหญ่ 3 คนก็ตกลงกันเอง
    ฝรั่งเศสตกลงดูแลแคทอลิก และรัสเซียตกลงดูแลพวกออโทดอกซ์ (Orthodox) ส่วนอังกฤษบอกเราไม่ยุ่งเรื่องศาสนา ขอเรามีสิทธิภาพนอกอาณาเขต เหนือกฏหมายในแถบนั้นก็แล้วกัน (Extraterritotrial Status) แน่จริงๆลูกพี่ นอกเหนือจากเรื่องนี้แล้ว อังกฤษบอก เราไม่สนใจอะไรในจอร์แดน
    เมื่อเริ่มต้นศตวรรษที่ 19 และออตโตมานคนป่วยของยุโรป เกิดเนื้อหอม มีคนอยากมาดูแลหลายราย แต่คนดูแลชื่อเยอรมันนี ทำให้อังกฤษต้องเตรียมการหาเหยื่อ และออกโรงแสดงความชำนาญในวิทยา ยุทธแม่ไม้ จัดเต็มชุด เริ่มแรกก็หลอกเหยื่อ Sharif Hussein ให้ไปช่วยยึดเมืองดามัสกัส เพื่อแยกออกมาจากออตโตมาน ส่วนอังกฤษมุ่งหน้าไปยึดปาเลสไตน์และเยรูซาเร็มใน ค.ศ.1917
    ในวันที่ฝ่ายตะวันตก ผู้ชนะในสงครามโลกครั้งที่ 1 กำลังตัดแบ่งอาณาจักรออตโตมานกันอยู่ที่ปารีส Faisal ลูกชายของ Sharif Hussein ลงทุนไม่ขี่อูฐ แต่ขึ้นรถไฟมาประชุมด้วย เขาตั้งใจจะมาบอกว่าพวกอาหรับไม่เห็นด้วยกับเรื่องการแบ่งดินแดนตะวันออกกลาง ให้ยิวมาอยู่ที่ปาเลสไตน์ แต่มารถไฟช้ากว่าขี่อูฐ เมื่อมาถึง อังกฤษตัดสินใจเดินหน้าประกาศเรื่องให้ยิวมาอยู่ปาเลสไตน์ตามข้อตกลง Balfour Declaration ไปเรียบร้อยแล้ว
    ขณะเดียวกันนั้น พวกอาหรับเองก็จัดชุมนุมกันที่ ดามัสกัส ประกาศให้ซีเรียเป็นเอกราช และแต่งตั้ง Faisal ขึ้นเป็นกษัตริย์ ส่วน Abdullah น้องชายของ Faisal ประกาศตั้งตัวเองเป็นกษัตริย์ของอิรัก
    สันนิบาตชาติ (Leagul of Nation) รู้เรื่องเข้าก็โวย บอกเฮ้ย พวกเจ้าประกาศแต่งตั้งกันเองไม่ได้ ต้องให้พวกเราเป็นคนเห็นชอบ ถึงจะเป็นเรื่องของตะวันออกกลาง แต่พวกเราชาวตะวันตกต่างหาก เป็นผู้ตัดสินเกี่ยวกับเขตแดน และชะตาชีวิตของพวกเจ้า และในการประชุมที่ San Remo ก็ยืนยันความเห็นของสันนิบาตชาติ หลังจากนั้นฝรั่งเศสก็อัญเชิญท่านกษัตริย์ Faisal ให้ขึ้นอูฐขนย้ายครอบครัวออกจากซีเรียเป็นการด่วน
    Faisal อาจจะว่าง่าย แต่ Abdullah บอกว่าอย่าไปยอมมันพี่เรา ว่าแล้วเขาก็อพยพชาวเผ่าร่อนเร่หลายพันคนมายังดามัสกัสประกาศบุกซีเรีย ท้าทายฝรั่งเศส ทวงถามสิทธิในบัลลังก์ของพี่ชาย คราวนี้อังกฤษนั่งไม่ติด ออกมาห้ามทัพ อังกฤษบอกกันเอง แต่ไม่ได้บอกพวกอาหรับว่า ถึงสัมพันธ์อังกฤษฝรั่งเศสจะลุ่มๆดอนๆ ก็ยังมีค่ากว่าพวกเร่ร่อนเป็นร้อยเท่า
    ก่อนตัดสินใจดำเนินการต่อ อังกฤษจัดประชุมหัวหน้าเผ่าอาหรับระดับพี่ใหญ่ทั้งหลาย ถามความเห็นเกี่ยวกับเรื่องยิวมาอยู่ในตะวันออกกลาง พวกอาหรับบอก ตะวันตกอยากจะทำอะไรก็เชิญ แต่พวกเรากำลังจะตั้งกลุ่มศาสนานิกายวาฮาบี ภายใต้การนำของหัวหน้าเผ่าใหญ่ Ibn Saud ซึ่งเริ่มมีอำนาจและอิทธิพลขึ้นเรื่อยๆ อังกฤษคงยังแปลคำตอบแบบตะวันออกกลางไม่ออก หรือแกล้งไม่เข้าใจ หรือเข้าใจดีอย่างชัดเจน
    อังกฤษเดินหน้าจับเข่า หักมือ Abdullah บอกว่าใจเย็นๆ เราจะปล่อยให้ท่านทะเลาะกับฝรั่งเศสไม่ได้ แต่เราก็ไม่ทำให้ท่านผิดหวังหรอก เราจะจัดการให้ท่านไปเป็นหัวหน้ารัฐ Transjordan ส่วนพี่ชายของท่าน Faisal เราจะจัดการให้เขาได้เป็นกษัตริย์ที่อิรักก็แล้วกันนะ เจอทองเรียกว่าพี่เข้า Abdullah ก็ใจอ่อน ถอยทัพออกไปจากซีเรีย เพียงแต่ต้องเพิ่มอูฐอีกหลายตัวหน่อย เพื่อขนทองของกำนัลปิดปากจากนักล่าชาวเกาะฯ
    ในการประชุม Cairo Conference เกี่ยวกับกิจการตะวันออกกลางของอังกฤษเมื่อ ค.ศ.1921 ซึ่งอำนวยการโดยท่านหลอด Winston Churchill อังกฤษจัดการตัดแบ่งปาเลสไตน์ยาวตามเส้นทางของแม่น้ำจอร์ แดนไปถึงอ่าวอกาบา (Gulf of Aqaba) โดยเรียกด้านตะวันตกว่า Transjordan ให้พวกอาหรับของ Abdullah ไปอยู่ ภายใต้การดูแลของกงสุลอังกฤษที่ประจำอยู่ปาเลสไตน์ สันนิบาตชาติประทับตราเห็นชอบ (ตามเคย!) แล้วอังกฤษก็มีอิทธิพลใน Transjordan เต็มที่ตั้งแต่นั้นมา
    ชาวจอร์แดนส่วนใหญ่ทำกสิกรรม จอร์แดนเป็นบริเวณเดียวในตะวันออกกลางที่ไม่มีแหล่งน้ำมัน แต่อังกฤษก็ยังสนใจ อุ้มชู ดูแล เหมือนจะตอบแทนบุญคุณของ Sharif Hussein !
    ตลอดเวลานับตั้งแต่อังกฤษตั้ง Transjordan พวกฮาวาบี ซึ่งก่อตั้งใหม่เอี่ยม ก็บุกเข้ามาตีรวนในจอร์แดนตลอดเวลาเหมือนกัน อย่างน้อยปีละครั้ง ตั้งแต่ ค.ศ.1921 เป็นต้นมา ไม่ให้พวก Abdullah นั่งหงอยเหงา อังกฤษก็ทำหน้าที่เป็นผู้ขับไล่ออกไปทุกครั้ง อังกฤษดูแลด้านความมั่นคง การเงิน และการต่างประเทศของจอร์แดนรวมทั้งจ่ายค่าเลี้ยงดูชาวจอร์แดนอีกด้วย นักล่าชาวเกาะใหญ่เท่าปลายนิ้วก้อยฯใจดีผิดสันดาน
    จอร์แดนเป็นบริเวณกันชนระหว่างปาเลสไตน์กับอิรัก และเป็นเส้นทางบินระหว่างอังกฤษกับอินเดียสมัยนั้น แต่นั่นคงไม่น่ามีค่าพอทำให้อังกฤษลงทุนควักกระเป๋าเลี้ยงดูจอร์แดน
    ด้วยเขตแดนของจอร์แดนที่ติดกับซาอุดิอารเบีย ทำให้พวกวาฮาบีข้ามเขตมารุกรานจอร์แดนเหมือนเป็นกิจกรรมหลัก ในที่สุดอังกฤษก็ขอเจรจากับซา อุดิอารเบีย อังกฤษยึดเมืองอกาบาไป และยอมยก Wadi Sirhan ให้ซาอุดิอารเบียและ ค.ศ.1925 Hadda Agreement ก็ลงนาม Wadi Sirhan ตกลงเป็นส่วนหนึ่งของ Nejd ของซาอุดิและอกาบาเป็นส่วนหนึ่งของTransjordan
    ซาอุดิอารเบียกลืนเบ็ดโดยไม่รู้ตัว Aqaba Gulf เป็นจุดสำคัญในการคุมทางเข้าปาเลสไตน์และอิยิปต์จากพวกวาฮาบี
    Abdullah ยังมีความฝันตามพ่อ ที่จะเห็นรัฐอาหรับ สำหรับ Abdullah เขาอยากจะครองอาณาจักรที่ประกอบไปด้วย Transjordan ซีเรีย เลบานอน รวมไปถึงปาเลสไตน์ เพราะฝันแบบนี้ Abdullah ซึ่งเป็นหัวหน้าอาหรับคนเดียวที่เห็นด้วยกับมติของสหประชาชาติ ที่ยอมรับการจัดสรรดินแดนปาเลสไตน์ในปี ค.ศ.1947
    เกือบทุกรัฐอาหรับไม่ไว้ใจ Abdullah และเห็นว่าเขาหักหลังพรรคพวก และเชื่อว่าเขาสนับสนุนให้มีการตั้งรัฐให้ยิวเสียด้วยซ้ำ
    เมื่อถูกกล่าวหาเช่นนั้น Abdullah ก็มีพวกน้อยลง และไว้ใจพวกน้อยลง การตัดแบ่ง Transjordan และการให้ Abdullah มาครอง จึงน่าจะเป็นยุทธศาสตร์แม่ไม้ของขาวเกาะใหญ่เท่าปลายนิ้วก้อยฯ ที่เหี้ยมโหดสิ้นดี อังกฤษรู้ดีว่าชาวอาหรับส่วนใหญ่คิดอย่างไรเรื่องการให้ยิวมาอยู่ปาเลสไตน์ ตั้งแต่เมื่อเรียกประชุมพวกอาหรับ แต่เขาเดินหน้าหลอกเหยื่อซ้ำแล้วซ้ำอีก โดยเฉพาะเหยื่อที่เป็นพวกครอบครัวของ Sharif Hussein !
    วันที่ 20 กรกฏาคม ค.ศ.1951 Abdullah ก็ถูกยิงตายอยู่บนบันไดทางขึ้นของ Al-Aqsa Mosque ในนครเยรูซาเร็ม คนยิงเขาเป็นชาวปาเลสไตน์ ซึ่งต่อต้านจอร์แดนที่ทำตัวเป็นมิตรกับอิสราเอล
    ก่อนหน้านั้นไม่กี่วัน Raid Bay al-Solh อดีตนายกรัฐมนตรีเลบานอนถูกฆาตกรรมที่อัมมาน (Amman) หลังจากมีข่าวลือออกไปทั่วว่า เลบานอนและจอร์แดนกำลังเจรจาสันติภาพกับอิสราเอล
    Abdullah ไปกรุงเยรูซาเล็มเพื่อร่วมพิธีสวดให้กับอดีตนายกรัฐมนตรีเลบานอน และก็ถูกยิงตรงทางขึ้นโบสถ์ที่ กำลังมีพิธีสวด เขาถูกยิง 3 นัด ที่หัวและหน้าอก หลานชายของเขา Hussien bin Talal (กษัตริย์จอร์แดนตั้งแต่ ค.ศ.1953-1999) ยืนอยู่ข้างปู่ของเขาขณะที่ปู่ของเขาถูกยิง
    สวัสดีครับ
คนเล่านิทาน
12 ก.ย. 57
    เหยื่อ – เคี้ยว ตอนที่ 3 – จอร์แดน นิทานเรื่องจริง เรื่อง “เหยื่อ”
ตอนที่ 3 : “เคี้ยว3” จอร์แดน
ก่อนสงครามโลกครั้งที่ 1 Transjordan หรือที่ปัจจุบันเรียกกันว่า Jordan ยังไม่เป็นรัฐ เป็นเพียงกลุ่มหมู่บ้าน เรียงรายอยู่บริเวณใกล้เคียง ขึ้นกับอาณาจักรออตโตมาน อังกฤษเริ่มสนใจจอร์แดนด้านการเมืองเมื่อ ค.ศ.1930 เพราะฝรั่งเศสให้ความสนใจ ! มันเป็นสันดานของชาวเกาะใหญ่เท่าปลายนิ้วก้อยฯ จะต้องคอยเฝ้าดูการเคลื่อนไหวของฝรั่งเศส แล้วหยิบไม้เตรียมใช้เสี้ยม หรือขวาง ฯลฯ อะไรทำนองนั้น ฝรั่งเศสอ้างว่าเป็นหน้าที่ของฝรั่งเศส ที่จะต้องเข้าไปดูแลพวกชาวคริสต์ที่อยู่ในออตโตมาน บริเวณที่เป็นจอร์แดนปัจจุบัน โดยมีผู้ปกครองอิยิปต์ขณะนั้นคือ Mohammed Ali รู้เห็นเป็นใจด้วย ทำให้อังกฤษและรัสเซียไม่พอใจ มันกำลังตบตาหลอกลวงอะไรเราหรือเปล่า แล้วอังกฤษกับรัสเซียก็จับมือกันมาออกโรงไล่ Mohammed Ali กลับอิยิปต์ไป อย่ายุ่งเรื่องของผู้ใหญ่ แล้วผู้ใหญ่ 3 คนก็ตกลงกันเอง ฝรั่งเศสตกลงดูแลแคทอลิก และรัสเซียตกลงดูแลพวกออโทดอกซ์ (Orthodox) ส่วนอังกฤษบอกเราไม่ยุ่งเรื่องศาสนา ขอเรามีสิทธิภาพนอกอาณาเขต เหนือกฏหมายในแถบนั้นก็แล้วกัน (Extraterritotrial Status) แน่จริงๆลูกพี่ นอกเหนือจากเรื่องนี้แล้ว อังกฤษบอก เราไม่สนใจอะไรในจอร์แดน เมื่อเริ่มต้นศตวรรษที่ 19 และออตโตมานคนป่วยของยุโรป เกิดเนื้อหอม มีคนอยากมาดูแลหลายราย แต่คนดูแลชื่อเยอรมันนี ทำให้อังกฤษต้องเตรียมการหาเหยื่อ และออกโรงแสดงความชำนาญในวิทยา ยุทธแม่ไม้ จัดเต็มชุด เริ่มแรกก็หลอกเหยื่อ Sharif Hussein ให้ไปช่วยยึดเมืองดามัสกัส เพื่อแยกออกมาจากออตโตมาน ส่วนอังกฤษมุ่งหน้าไปยึดปาเลสไตน์และเยรูซาเร็มใน ค.ศ.1917 ในวันที่ฝ่ายตะวันตก ผู้ชนะในสงครามโลกครั้งที่ 1 กำลังตัดแบ่งอาณาจักรออตโตมานกันอยู่ที่ปารีส Faisal ลูกชายของ Sharif Hussein ลงทุนไม่ขี่อูฐ แต่ขึ้นรถไฟมาประชุมด้วย เขาตั้งใจจะมาบอกว่าพวกอาหรับไม่เห็นด้วยกับเรื่องการแบ่งดินแดนตะวันออกกลาง ให้ยิวมาอยู่ที่ปาเลสไตน์ แต่มารถไฟช้ากว่าขี่อูฐ เมื่อมาถึง อังกฤษตัดสินใจเดินหน้าประกาศเรื่องให้ยิวมาอยู่ปาเลสไตน์ตามข้อตกลง Balfour Declaration ไปเรียบร้อยแล้ว ขณะเดียวกันนั้น พวกอาหรับเองก็จัดชุมนุมกันที่ ดามัสกัส ประกาศให้ซีเรียเป็นเอกราช และแต่งตั้ง Faisal ขึ้นเป็นกษัตริย์ ส่วน Abdullah น้องชายของ Faisal ประกาศตั้งตัวเองเป็นกษัตริย์ของอิรัก สันนิบาตชาติ (Leagul of Nation) รู้เรื่องเข้าก็โวย บอกเฮ้ย พวกเจ้าประกาศแต่งตั้งกันเองไม่ได้ ต้องให้พวกเราเป็นคนเห็นชอบ ถึงจะเป็นเรื่องของตะวันออกกลาง แต่พวกเราชาวตะวันตกต่างหาก เป็นผู้ตัดสินเกี่ยวกับเขตแดน และชะตาชีวิตของพวกเจ้า และในการประชุมที่ San Remo ก็ยืนยันความเห็นของสันนิบาตชาติ หลังจากนั้นฝรั่งเศสก็อัญเชิญท่านกษัตริย์ Faisal ให้ขึ้นอูฐขนย้ายครอบครัวออกจากซีเรียเป็นการด่วน Faisal อาจจะว่าง่าย แต่ Abdullah บอกว่าอย่าไปยอมมันพี่เรา ว่าแล้วเขาก็อพยพชาวเผ่าร่อนเร่หลายพันคนมายังดามัสกัสประกาศบุกซีเรีย ท้าทายฝรั่งเศส ทวงถามสิทธิในบัลลังก์ของพี่ชาย คราวนี้อังกฤษนั่งไม่ติด ออกมาห้ามทัพ อังกฤษบอกกันเอง แต่ไม่ได้บอกพวกอาหรับว่า ถึงสัมพันธ์อังกฤษฝรั่งเศสจะลุ่มๆดอนๆ ก็ยังมีค่ากว่าพวกเร่ร่อนเป็นร้อยเท่า ก่อนตัดสินใจดำเนินการต่อ อังกฤษจัดประชุมหัวหน้าเผ่าอาหรับระดับพี่ใหญ่ทั้งหลาย ถามความเห็นเกี่ยวกับเรื่องยิวมาอยู่ในตะวันออกกลาง พวกอาหรับบอก ตะวันตกอยากจะทำอะไรก็เชิญ แต่พวกเรากำลังจะตั้งกลุ่มศาสนานิกายวาฮาบี ภายใต้การนำของหัวหน้าเผ่าใหญ่ Ibn Saud ซึ่งเริ่มมีอำนาจและอิทธิพลขึ้นเรื่อยๆ อังกฤษคงยังแปลคำตอบแบบตะวันออกกลางไม่ออก หรือแกล้งไม่เข้าใจ หรือเข้าใจดีอย่างชัดเจน อังกฤษเดินหน้าจับเข่า หักมือ Abdullah บอกว่าใจเย็นๆ เราจะปล่อยให้ท่านทะเลาะกับฝรั่งเศสไม่ได้ แต่เราก็ไม่ทำให้ท่านผิดหวังหรอก เราจะจัดการให้ท่านไปเป็นหัวหน้ารัฐ Transjordan ส่วนพี่ชายของท่าน Faisal เราจะจัดการให้เขาได้เป็นกษัตริย์ที่อิรักก็แล้วกันนะ เจอทองเรียกว่าพี่เข้า Abdullah ก็ใจอ่อน ถอยทัพออกไปจากซีเรีย เพียงแต่ต้องเพิ่มอูฐอีกหลายตัวหน่อย เพื่อขนทองของกำนัลปิดปากจากนักล่าชาวเกาะฯ ในการประชุม Cairo Conference เกี่ยวกับกิจการตะวันออกกลางของอังกฤษเมื่อ ค.ศ.1921 ซึ่งอำนวยการโดยท่านหลอด Winston Churchill อังกฤษจัดการตัดแบ่งปาเลสไตน์ยาวตามเส้นทางของแม่น้ำจอร์ แดนไปถึงอ่าวอกาบา (Gulf of Aqaba) โดยเรียกด้านตะวันตกว่า Transjordan ให้พวกอาหรับของ Abdullah ไปอยู่ ภายใต้การดูแลของกงสุลอังกฤษที่ประจำอยู่ปาเลสไตน์ สันนิบาตชาติประทับตราเห็นชอบ (ตามเคย!) แล้วอังกฤษก็มีอิทธิพลใน Transjordan เต็มที่ตั้งแต่นั้นมา ชาวจอร์แดนส่วนใหญ่ทำกสิกรรม จอร์แดนเป็นบริเวณเดียวในตะวันออกกลางที่ไม่มีแหล่งน้ำมัน แต่อังกฤษก็ยังสนใจ อุ้มชู ดูแล เหมือนจะตอบแทนบุญคุณของ Sharif Hussein ! ตลอดเวลานับตั้งแต่อังกฤษตั้ง Transjordan พวกฮาวาบี ซึ่งก่อตั้งใหม่เอี่ยม ก็บุกเข้ามาตีรวนในจอร์แดนตลอดเวลาเหมือนกัน อย่างน้อยปีละครั้ง ตั้งแต่ ค.ศ.1921 เป็นต้นมา ไม่ให้พวก Abdullah นั่งหงอยเหงา อังกฤษก็ทำหน้าที่เป็นผู้ขับไล่ออกไปทุกครั้ง อังกฤษดูแลด้านความมั่นคง การเงิน และการต่างประเทศของจอร์แดนรวมทั้งจ่ายค่าเลี้ยงดูชาวจอร์แดนอีกด้วย นักล่าชาวเกาะใหญ่เท่าปลายนิ้วก้อยฯใจดีผิดสันดาน จอร์แดนเป็นบริเวณกันชนระหว่างปาเลสไตน์กับอิรัก และเป็นเส้นทางบินระหว่างอังกฤษกับอินเดียสมัยนั้น แต่นั่นคงไม่น่ามีค่าพอทำให้อังกฤษลงทุนควักกระเป๋าเลี้ยงดูจอร์แดน ด้วยเขตแดนของจอร์แดนที่ติดกับซาอุดิอารเบีย ทำให้พวกวาฮาบีข้ามเขตมารุกรานจอร์แดนเหมือนเป็นกิจกรรมหลัก ในที่สุดอังกฤษก็ขอเจรจากับซา อุดิอารเบีย อังกฤษยึดเมืองอกาบาไป และยอมยก Wadi Sirhan ให้ซาอุดิอารเบียและ ค.ศ.1925 Hadda Agreement ก็ลงนาม Wadi Sirhan ตกลงเป็นส่วนหนึ่งของ Nejd ของซาอุดิและอกาบาเป็นส่วนหนึ่งของTransjordan ซาอุดิอารเบียกลืนเบ็ดโดยไม่รู้ตัว Aqaba Gulf เป็นจุดสำคัญในการคุมทางเข้าปาเลสไตน์และอิยิปต์จากพวกวาฮาบี Abdullah ยังมีความฝันตามพ่อ ที่จะเห็นรัฐอาหรับ สำหรับ Abdullah เขาอยากจะครองอาณาจักรที่ประกอบไปด้วย Transjordan ซีเรีย เลบานอน รวมไปถึงปาเลสไตน์ เพราะฝันแบบนี้ Abdullah ซึ่งเป็นหัวหน้าอาหรับคนเดียวที่เห็นด้วยกับมติของสหประชาชาติ ที่ยอมรับการจัดสรรดินแดนปาเลสไตน์ในปี ค.ศ.1947 เกือบทุกรัฐอาหรับไม่ไว้ใจ Abdullah และเห็นว่าเขาหักหลังพรรคพวก และเชื่อว่าเขาสนับสนุนให้มีการตั้งรัฐให้ยิวเสียด้วยซ้ำ เมื่อถูกกล่าวหาเช่นนั้น Abdullah ก็มีพวกน้อยลง และไว้ใจพวกน้อยลง การตัดแบ่ง Transjordan และการให้ Abdullah มาครอง จึงน่าจะเป็นยุทธศาสตร์แม่ไม้ของขาวเกาะใหญ่เท่าปลายนิ้วก้อยฯ ที่เหี้ยมโหดสิ้นดี อังกฤษรู้ดีว่าชาวอาหรับส่วนใหญ่คิดอย่างไรเรื่องการให้ยิวมาอยู่ปาเลสไตน์ ตั้งแต่เมื่อเรียกประชุมพวกอาหรับ แต่เขาเดินหน้าหลอกเหยื่อซ้ำแล้วซ้ำอีก โดยเฉพาะเหยื่อที่เป็นพวกครอบครัวของ Sharif Hussein ! วันที่ 20 กรกฏาคม ค.ศ.1951 Abdullah ก็ถูกยิงตายอยู่บนบันไดทางขึ้นของ Al-Aqsa Mosque ในนครเยรูซาเร็ม คนยิงเขาเป็นชาวปาเลสไตน์ ซึ่งต่อต้านจอร์แดนที่ทำตัวเป็นมิตรกับอิสราเอล ก่อนหน้านั้นไม่กี่วัน Raid Bay al-Solh อดีตนายกรัฐมนตรีเลบานอนถูกฆาตกรรมที่อัมมาน (Amman) หลังจากมีข่าวลือออกไปทั่วว่า เลบานอนและจอร์แดนกำลังเจรจาสันติภาพกับอิสราเอล Abdullah ไปกรุงเยรูซาเล็มเพื่อร่วมพิธีสวดให้กับอดีตนายกรัฐมนตรีเลบานอน และก็ถูกยิงตรงทางขึ้นโบสถ์ที่ กำลังมีพิธีสวด เขาถูกยิง 3 นัด ที่หัวและหน้าอก หลานชายของเขา Hussien bin Talal (กษัตริย์จอร์แดนตั้งแต่ ค.ศ.1953-1999) ยืนอยู่ข้างปู่ของเขาขณะที่ปู่ของเขาถูกยิง สวัสดีครับ
คนเล่านิทาน
12 ก.ย. 57
    0 Comments 0 Shares 321 Views 0 Reviews
  • “Xbox อาจเลิกผลิตคอนโซล — เปลี่ยนทิศสู่แพลตฟอร์มซอฟต์แวร์เต็มตัวในยุค Cloud Gaming”

    ข่าวลือที่กำลังร้อนแรงในวงการเกมคือ Microsoft อาจตัดสินใจ “เลิกผลิตคอนโซล Xbox รุ่นใหม่” และเปลี่ยนทิศทางไปสู่การเป็นผู้ให้บริการซอฟต์แวร์เต็มรูปแบบ คล้ายกับที่ SEGA เคยทำในอดีต โดยเน้นการเผยแพร่เกมบนทุกแพลตฟอร์มที่มีผู้ใช้งาน ไม่ว่าจะเป็น PlayStation, Switch, PC หรือมือถือ

    แหล่งข่าวจาก SneakersSO ซึ่งเคยปล่อยข้อมูลแม่นยำเกี่ยวกับ Xbox มาก่อน ระบุว่าแผนการผลิตคอนโซลรุ่นถัดไปที่เดิมจะเริ่มในปี 2026 เพื่อเปิดตัวในปี 2027 ตอนนี้ “ไม่แน่นอนแล้ว” เพราะ Microsoft กำลังพิจารณาแนวทางใหม่ที่เน้น Cloud Gaming และ IP ที่ทำกำไรสูง เช่น Call of Duty, Minecraft, Candy Crush และ Forza Horizon

    เป้าหมายคือการเปลี่ยน Game Pass ให้กลายเป็นจุดเริ่มต้นของการเข้าถึง xCloud โดยไม่จำกัดเฉพาะฮาร์ดแวร์ Xbox อีกต่อไป และอาจมีการเปิดให้เล่นเกม Xbox บนอุปกรณ์ใดก็ได้ที่มี marketplace และผู้ใช้พร้อมจ่ายเงิน

    อย่างไรก็ตาม Microsoft เคยให้คำมั่นว่าจะมีคอนโซลรุ่นใหม่ที่ “ก้าวกระโดดทางเทคนิคมากที่สุดเท่าที่เคยมีมา” และเพิ่งเซ็นสัญญาร่วมมือกับ AMD เพื่อพัฒนาชิปสำหรับอุปกรณ์รุ่นถัดไป ทั้งคอนโซล, เครื่องพกพา และระบบ Cloud ซึ่งทำให้ข่าวลือนี้ขัดแย้งกับทิศทางที่ประกาศไว้ก่อนหน้า

    หาก Microsoft ตัดสินใจเลิกผลิตคอนโซลจริง อาจส่งผลกระทบต่อผู้ใช้ที่ลงทุนในระบบ Xbox มานาน โดยเฉพาะเรื่อง backward compatibility และคลังเกมที่สะสมไว้หลายรุ่น

    ข้อมูลสำคัญจากข่าว
    มีข่าวลือว่า Microsoft อาจเลิกผลิตคอนโซล Xbox รุ่นถัดไป
    แหล่งข่าว SneakersSO ระบุว่าแผนการผลิตในปี 2026 ถูก “แขวนไว้”
    Microsoft อาจเปลี่ยนทิศทางไปสู่การเผยแพร่ซอฟต์แวร์บนทุกแพลตฟอร์ม
    เน้น IP ที่ทำกำไรสูง เช่น CoD, Minecraft, Candy Crush, Forza Horizon
    เปลี่ยน Game Pass ให้เป็นจุดเริ่มต้นของการเข้าถึง xCloud
    Microsoft เคยให้คำมั่นว่าจะมีคอนโซลรุ่นใหม่ที่ก้าวกระโดดทางเทคนิค
    เพิ่งเซ็นสัญญาร่วมกับ AMD เพื่อพัฒนาชิปสำหรับอุปกรณ์รุ่นถัดไป
    หากเลิกผลิตคอนโซลจริง อาจกระทบผู้ใช้ที่สะสมเกมไว้หลายรุ่น

    ข้อมูลเสริมจากภายนอก
    Microsoft และ AMD ประกาศความร่วมมือหลายปีในการพัฒนาชิปสำหรับ Xbox รุ่นใหม่
    Xbox Series X|S มียอดขายลดลงต่อเนื่อง แม้ Game Pass จะเติบโต
    Cloud Gaming ของ Microsoft มีผู้ใช้งานเพิ่มขึ้นอย่างต่อเนื่องในหลายประเทศ
    SEGA เคยเลิกผลิตคอนโซลหลังยุค Dreamcast และเปลี่ยนเป็นผู้เผยแพร่เกม
    Xbox Play Anywhere และการรองรับเกมข้ามแพลตฟอร์มเป็นแนวทางที่ Microsoft ผลักดัน

    https://wccftech.com/xbox-might-go-full-third-party-leaving-hardware-for-good-rumor/
    🎮 “Xbox อาจเลิกผลิตคอนโซล — เปลี่ยนทิศสู่แพลตฟอร์มซอฟต์แวร์เต็มตัวในยุค Cloud Gaming” ข่าวลือที่กำลังร้อนแรงในวงการเกมคือ Microsoft อาจตัดสินใจ “เลิกผลิตคอนโซล Xbox รุ่นใหม่” และเปลี่ยนทิศทางไปสู่การเป็นผู้ให้บริการซอฟต์แวร์เต็มรูปแบบ คล้ายกับที่ SEGA เคยทำในอดีต โดยเน้นการเผยแพร่เกมบนทุกแพลตฟอร์มที่มีผู้ใช้งาน ไม่ว่าจะเป็น PlayStation, Switch, PC หรือมือถือ แหล่งข่าวจาก SneakersSO ซึ่งเคยปล่อยข้อมูลแม่นยำเกี่ยวกับ Xbox มาก่อน ระบุว่าแผนการผลิตคอนโซลรุ่นถัดไปที่เดิมจะเริ่มในปี 2026 เพื่อเปิดตัวในปี 2027 ตอนนี้ “ไม่แน่นอนแล้ว” เพราะ Microsoft กำลังพิจารณาแนวทางใหม่ที่เน้น Cloud Gaming และ IP ที่ทำกำไรสูง เช่น Call of Duty, Minecraft, Candy Crush และ Forza Horizon เป้าหมายคือการเปลี่ยน Game Pass ให้กลายเป็นจุดเริ่มต้นของการเข้าถึง xCloud โดยไม่จำกัดเฉพาะฮาร์ดแวร์ Xbox อีกต่อไป และอาจมีการเปิดให้เล่นเกม Xbox บนอุปกรณ์ใดก็ได้ที่มี marketplace และผู้ใช้พร้อมจ่ายเงิน อย่างไรก็ตาม Microsoft เคยให้คำมั่นว่าจะมีคอนโซลรุ่นใหม่ที่ “ก้าวกระโดดทางเทคนิคมากที่สุดเท่าที่เคยมีมา” และเพิ่งเซ็นสัญญาร่วมมือกับ AMD เพื่อพัฒนาชิปสำหรับอุปกรณ์รุ่นถัดไป ทั้งคอนโซล, เครื่องพกพา และระบบ Cloud ซึ่งทำให้ข่าวลือนี้ขัดแย้งกับทิศทางที่ประกาศไว้ก่อนหน้า หาก Microsoft ตัดสินใจเลิกผลิตคอนโซลจริง อาจส่งผลกระทบต่อผู้ใช้ที่ลงทุนในระบบ Xbox มานาน โดยเฉพาะเรื่อง backward compatibility และคลังเกมที่สะสมไว้หลายรุ่น ✅ ข้อมูลสำคัญจากข่าว ➡️ มีข่าวลือว่า Microsoft อาจเลิกผลิตคอนโซล Xbox รุ่นถัดไป ➡️ แหล่งข่าว SneakersSO ระบุว่าแผนการผลิตในปี 2026 ถูก “แขวนไว้” ➡️ Microsoft อาจเปลี่ยนทิศทางไปสู่การเผยแพร่ซอฟต์แวร์บนทุกแพลตฟอร์ม ➡️ เน้น IP ที่ทำกำไรสูง เช่น CoD, Minecraft, Candy Crush, Forza Horizon ➡️ เปลี่ยน Game Pass ให้เป็นจุดเริ่มต้นของการเข้าถึง xCloud ➡️ Microsoft เคยให้คำมั่นว่าจะมีคอนโซลรุ่นใหม่ที่ก้าวกระโดดทางเทคนิค ➡️ เพิ่งเซ็นสัญญาร่วมกับ AMD เพื่อพัฒนาชิปสำหรับอุปกรณ์รุ่นถัดไป ➡️ หากเลิกผลิตคอนโซลจริง อาจกระทบผู้ใช้ที่สะสมเกมไว้หลายรุ่น ✅ ข้อมูลเสริมจากภายนอก ➡️ Microsoft และ AMD ประกาศความร่วมมือหลายปีในการพัฒนาชิปสำหรับ Xbox รุ่นใหม่ ➡️ Xbox Series X|S มียอดขายลดลงต่อเนื่อง แม้ Game Pass จะเติบโต ➡️ Cloud Gaming ของ Microsoft มีผู้ใช้งานเพิ่มขึ้นอย่างต่อเนื่องในหลายประเทศ ➡️ SEGA เคยเลิกผลิตคอนโซลหลังยุค Dreamcast และเปลี่ยนเป็นผู้เผยแพร่เกม ➡️ Xbox Play Anywhere และการรองรับเกมข้ามแพลตฟอร์มเป็นแนวทางที่ Microsoft ผลักดัน https://wccftech.com/xbox-might-go-full-third-party-leaving-hardware-for-good-rumor/
    WCCFTECH.COM
    Xbox Might Be Going Full Third Party and Leaving Hardware for Good - Rumor
    According to a new rumor, Microsoft might be stopping its plans for a new Xbox consoles and opting to go full third-party like SEGA instead.
    0 Comments 0 Shares 238 Views 0 Reviews
  • “Intel เปิดตัวสถาปัตยกรรมใหม่ Nova Lake และ Diamond Rapids — ยุคใหม่ของ CPU ที่เน้น AI, ประสิทธิภาพ และความหนาแน่นของคอร์”

    Intel ยืนยันอย่างเป็นทางการถึงสถาปัตยกรรมใหม่สำหรับ CPU รุ่นถัดไปในปี 2026 โดยแบ่งออกเป็นสองสายหลัก ได้แก่ Nova Lake สำหรับผู้ใช้ทั่วไป และ Diamond Rapids สำหรับเซิร์ฟเวอร์ โดยข้อมูลนี้ปรากฏในเอกสาร ISA Reference ล่าสุดของ Intel ซึ่งช่วยยืนยันข่าวลือก่อนหน้านี้อย่างชัดเจน

    Nova Lake จะใช้ P-Core แบบใหม่ชื่อว่า Coyote Cove และ E-Core ชื่อ Arctic Wolf ซึ่งถูกออกแบบมาเพื่อเพิ่มประสิทธิภาพต่อคอร์ (IPC) และลดการใช้พลังงาน โดยจะรองรับแพลตฟอร์มใหม่ผ่านซ็อกเก็ต LGA 1954 และมี GPU แบบฝังรุ่นใหม่ที่ใช้ Xe3 tile สำหรับกราฟิกที่ดีขึ้นในโน้ตบุ๊กและเดสก์ท็อป

    Nova Lake-S สำหรับเดสก์ท็อปจะมีจำนวนคอร์สูงสุดถึง 52 คอร์ ขณะที่รุ่น HX สำหรับโน้ตบุ๊กจะมีสูงสุด 28 คอร์ และอาจมีรุ่น Nova Lake-AX สำหรับตลาด APU ที่เคยมีข่าวว่าจะเป็นคู่แข่งกับ AMD Strix Halo แต่ตอนนี้ยังอยู่ในสถานะไม่แน่นอน

    ฝั่งเซิร์ฟเวอร์ Diamond Rapids จะใช้ P-Core แบบ Panther Cove ซึ่งเน้นการเพิ่มความหนาแน่นของคอร์ โดยอาจมีสูงถึง 192–256 คอร์ แต่จะไม่มีฟีเจอร์ Hyper-Threading (SMT) ในรุ่นแรก ซึ่ง Intel ยืนยันว่าจะนำกลับมาในรุ่น Coral Rapids ที่ตามมา

    นอกจากนี้ยังมีการกล่าวถึง Panther Cove-X ซึ่งอาจเป็นรุ่นประสิทธิภาพสูงสำหรับเวิร์กสเตชัน และ Wildcat Lake ซึ่งจะมาแทน Twin Lake ในกลุ่ม APU ระดับเริ่มต้น โดยใช้ Cougar Cove P-Core และ Darkmont E-Core เช่นเดียวกับ Panther Lake

    ทั้งหมดนี้สะท้อนถึงยุทธศาสตร์ของ Intel ที่เน้นการขยายจำนวนคอร์ ปรับปรุงสถาปัตยกรรม และเตรียมพร้อมสำหรับยุค AI ที่ต้องการการประมวลผลแบบกระจายและมีประสิทธิภาพสูง

    ข้อมูลสำคัญจากข่าว
    Intel ยืนยันสถาปัตยกรรมใหม่สำหรับ CPU ปี 2026 ได้แก่ Nova Lake และ Diamond Rapids
    Nova Lake ใช้ Coyote Cove P-Core และ Arctic Wolf E-Core
    รองรับซ็อกเก็ตใหม่ LGA 1954 และ GPU แบบ Xe3 tile
    Nova Lake-S มีสูงสุด 52 คอร์ ส่วนรุ่น HX มีสูงสุด 28 คอร์
    Diamond Rapids ใช้ Panther Cove P-Core และเน้นความหนาแน่นของคอร์
    ไม่มี SMT ใน Diamond Rapids แต่จะกลับมาใน Coral Rapids
    มีการกล่าวถึง Panther Cove-X สำหรับเวิร์กสเตชัน และ Wildcat Lake สำหรับ APU ระดับเริ่มต้น
    Wildcat Lake ใช้ Cougar Cove P-Core และ Darkmont E-Core
    Intel เตรียมแข่งขันกับ AMD Zen 6 ทั้งในตลาดผู้ใช้ทั่วไปและเซิร์ฟเวอร์

    ข้อมูลเสริมจากภายนอก
    Xe3 tile เป็น GPU แบบฝังรุ่นใหม่ที่เน้นประสิทธิภาพและการประหยัดพลังงาน
    Panther Lake เป็นรุ่นก่อนหน้า Nova Lake ที่ใช้ Cougar Cove และ Darkmont
    Coral Rapids จะนำ SMT กลับมาเพื่อรองรับงานเซิร์ฟเวอร์ที่ต้องการ multithreading
    APU คือชิปที่รวม CPU และ GPU ไว้ในตัวเดียว เหมาะกับงานที่ต้องการกราฟิกแต่ไม่ใช้การ์ดจอแยก
    การเพิ่มจำนวนคอร์ช่วยให้รองรับงานแบบ parallel ได้ดีขึ้น เช่น AI, simulation, และการประมวลผลข้อมูลขนาดใหญ่

    https://www.tomshardware.com/pc-components/cpus/intels-next-gen-nova-lake-and-diamond-rapids-microarchitectures-get-official-confirmation-latest-isa-reference-doc-details-the-p-cores-and-e-cores-upcoming-cpus-will-use
    🧠 “Intel เปิดตัวสถาปัตยกรรมใหม่ Nova Lake และ Diamond Rapids — ยุคใหม่ของ CPU ที่เน้น AI, ประสิทธิภาพ และความหนาแน่นของคอร์” Intel ยืนยันอย่างเป็นทางการถึงสถาปัตยกรรมใหม่สำหรับ CPU รุ่นถัดไปในปี 2026 โดยแบ่งออกเป็นสองสายหลัก ได้แก่ Nova Lake สำหรับผู้ใช้ทั่วไป และ Diamond Rapids สำหรับเซิร์ฟเวอร์ โดยข้อมูลนี้ปรากฏในเอกสาร ISA Reference ล่าสุดของ Intel ซึ่งช่วยยืนยันข่าวลือก่อนหน้านี้อย่างชัดเจน Nova Lake จะใช้ P-Core แบบใหม่ชื่อว่า Coyote Cove และ E-Core ชื่อ Arctic Wolf ซึ่งถูกออกแบบมาเพื่อเพิ่มประสิทธิภาพต่อคอร์ (IPC) และลดการใช้พลังงาน โดยจะรองรับแพลตฟอร์มใหม่ผ่านซ็อกเก็ต LGA 1954 และมี GPU แบบฝังรุ่นใหม่ที่ใช้ Xe3 tile สำหรับกราฟิกที่ดีขึ้นในโน้ตบุ๊กและเดสก์ท็อป Nova Lake-S สำหรับเดสก์ท็อปจะมีจำนวนคอร์สูงสุดถึง 52 คอร์ ขณะที่รุ่น HX สำหรับโน้ตบุ๊กจะมีสูงสุด 28 คอร์ และอาจมีรุ่น Nova Lake-AX สำหรับตลาด APU ที่เคยมีข่าวว่าจะเป็นคู่แข่งกับ AMD Strix Halo แต่ตอนนี้ยังอยู่ในสถานะไม่แน่นอน ฝั่งเซิร์ฟเวอร์ Diamond Rapids จะใช้ P-Core แบบ Panther Cove ซึ่งเน้นการเพิ่มความหนาแน่นของคอร์ โดยอาจมีสูงถึง 192–256 คอร์ แต่จะไม่มีฟีเจอร์ Hyper-Threading (SMT) ในรุ่นแรก ซึ่ง Intel ยืนยันว่าจะนำกลับมาในรุ่น Coral Rapids ที่ตามมา นอกจากนี้ยังมีการกล่าวถึง Panther Cove-X ซึ่งอาจเป็นรุ่นประสิทธิภาพสูงสำหรับเวิร์กสเตชัน และ Wildcat Lake ซึ่งจะมาแทน Twin Lake ในกลุ่ม APU ระดับเริ่มต้น โดยใช้ Cougar Cove P-Core และ Darkmont E-Core เช่นเดียวกับ Panther Lake ทั้งหมดนี้สะท้อนถึงยุทธศาสตร์ของ Intel ที่เน้นการขยายจำนวนคอร์ ปรับปรุงสถาปัตยกรรม และเตรียมพร้อมสำหรับยุค AI ที่ต้องการการประมวลผลแบบกระจายและมีประสิทธิภาพสูง ✅ ข้อมูลสำคัญจากข่าว ➡️ Intel ยืนยันสถาปัตยกรรมใหม่สำหรับ CPU ปี 2026 ได้แก่ Nova Lake และ Diamond Rapids ➡️ Nova Lake ใช้ Coyote Cove P-Core และ Arctic Wolf E-Core ➡️ รองรับซ็อกเก็ตใหม่ LGA 1954 และ GPU แบบ Xe3 tile ➡️ Nova Lake-S มีสูงสุด 52 คอร์ ส่วนรุ่น HX มีสูงสุด 28 คอร์ ➡️ Diamond Rapids ใช้ Panther Cove P-Core และเน้นความหนาแน่นของคอร์ ➡️ ไม่มี SMT ใน Diamond Rapids แต่จะกลับมาใน Coral Rapids ➡️ มีการกล่าวถึง Panther Cove-X สำหรับเวิร์กสเตชัน และ Wildcat Lake สำหรับ APU ระดับเริ่มต้น ➡️ Wildcat Lake ใช้ Cougar Cove P-Core และ Darkmont E-Core ➡️ Intel เตรียมแข่งขันกับ AMD Zen 6 ทั้งในตลาดผู้ใช้ทั่วไปและเซิร์ฟเวอร์ ✅ ข้อมูลเสริมจากภายนอก ➡️ Xe3 tile เป็น GPU แบบฝังรุ่นใหม่ที่เน้นประสิทธิภาพและการประหยัดพลังงาน ➡️ Panther Lake เป็นรุ่นก่อนหน้า Nova Lake ที่ใช้ Cougar Cove และ Darkmont ➡️ Coral Rapids จะนำ SMT กลับมาเพื่อรองรับงานเซิร์ฟเวอร์ที่ต้องการ multithreading ➡️ APU คือชิปที่รวม CPU และ GPU ไว้ในตัวเดียว เหมาะกับงานที่ต้องการกราฟิกแต่ไม่ใช้การ์ดจอแยก ➡️ การเพิ่มจำนวนคอร์ช่วยให้รองรับงานแบบ parallel ได้ดีขึ้น เช่น AI, simulation, และการประมวลผลข้อมูลขนาดใหญ่ https://www.tomshardware.com/pc-components/cpus/intels-next-gen-nova-lake-and-diamond-rapids-microarchitectures-get-official-confirmation-latest-isa-reference-doc-details-the-p-cores-and-e-cores-upcoming-cpus-will-use
    0 Comments 0 Shares 241 Views 0 Reviews
  • “Intel Panther Lake-H โผล่ครั้งแรก — เปลี่ยนชื่อใหม่เป็น Ultra X พร้อมสเปกแรงทะลุ 5.1 GHz”

    Intel เตรียมเปิดตัวซีพียูรุ่นใหม่ในกลุ่มโน้ตบุ๊กประสิทธิภาพสูงภายใต้ชื่อ “Panther Lake-H” ซึ่งจะเป็นรุ่นแรกที่ใช้เทคโนโลยีการผลิตระดับ 18A node พร้อมสถาปัตยกรรมใหม่หลายจุด และที่น่าจับตาคือการเปลี่ยนชื่อรุ่นเป็น “Core Ultra X” โดยแบ่งออกเป็น Ultra X5, X7 และ X9 ซึ่งถือเป็นการรีแบรนด์ครั้งใหญ่ของ Intel เพื่อสร้างความแตกต่างจากรุ่นก่อนหน้า

    จากข้อมูลที่หลุดออกมา มีรุ่นย่อยที่น่าสนใจ ได้แก่
    Core Ultra X9 388H: คาดว่าจะเป็นรุ่นสูงสุด มาพร้อม P-Core แบบแรงพิเศษ, GPU Xe3 จำนวน 12 คอร์ และความเร็วสูงสุด 5.1 GHz
    Core Ultra X7 368H: เน้น iGPU ที่ทรงพลัง
    Core Ultra X5 338H และ X7 358H: อยู่ในกลุ่ม mid-tier

    Panther Lake-H จะใช้โครงสร้างแบบ hybrid ที่ประกอบด้วย 3 ประเภทของคอร์ ได้แก่
    P-Core (Cougar Cove)
    E-Core (Darkmont)
    LP-E Core (Skymont)

    พร้อม GPU แบบ Xe3 (Celestial) และ TDP ที่หลากหลายตั้งแต่ 25W ถึง 45W ซึ่งเหมาะกับโน้ตบุ๊กบางเบาไปจนถึงรุ่นประสิทธิภาพสูง

    แม้จะมีข่าวลือว่า Panther Lake-H อาจอยู่ในกลุ่ม Nova Lake-H แต่ข้อมูลล่าสุดยืนยันว่า Panther Lake จะอยู่ภายใต้ Core Ultra 300 series ไม่ใช่ 400 series อย่างที่เคยเข้าใจผิด

    https://wccftech.com/intel-panther-lake-h-retail-skus-surface-for-the-first-time/
    🚀 “Intel Panther Lake-H โผล่ครั้งแรก — เปลี่ยนชื่อใหม่เป็น Ultra X พร้อมสเปกแรงทะลุ 5.1 GHz” Intel เตรียมเปิดตัวซีพียูรุ่นใหม่ในกลุ่มโน้ตบุ๊กประสิทธิภาพสูงภายใต้ชื่อ “Panther Lake-H” ซึ่งจะเป็นรุ่นแรกที่ใช้เทคโนโลยีการผลิตระดับ 18A node พร้อมสถาปัตยกรรมใหม่หลายจุด และที่น่าจับตาคือการเปลี่ยนชื่อรุ่นเป็น “Core Ultra X” โดยแบ่งออกเป็น Ultra X5, X7 และ X9 ซึ่งถือเป็นการรีแบรนด์ครั้งใหญ่ของ Intel เพื่อสร้างความแตกต่างจากรุ่นก่อนหน้า จากข้อมูลที่หลุดออกมา มีรุ่นย่อยที่น่าสนใจ ได้แก่ ✔️ Core Ultra X9 388H: คาดว่าจะเป็นรุ่นสูงสุด มาพร้อม P-Core แบบแรงพิเศษ, GPU Xe3 จำนวน 12 คอร์ และความเร็วสูงสุด 5.1 GHz ✔️ Core Ultra X7 368H: เน้น iGPU ที่ทรงพลัง ✔️ Core Ultra X5 338H และ X7 358H: อยู่ในกลุ่ม mid-tier Panther Lake-H จะใช้โครงสร้างแบบ hybrid ที่ประกอบด้วย 3 ประเภทของคอร์ ได้แก่ ✔️ P-Core (Cougar Cove) ✔️ E-Core (Darkmont) ✔️ LP-E Core (Skymont) พร้อม GPU แบบ Xe3 (Celestial) และ TDP ที่หลากหลายตั้งแต่ 25W ถึง 45W ซึ่งเหมาะกับโน้ตบุ๊กบางเบาไปจนถึงรุ่นประสิทธิภาพสูง แม้จะมีข่าวลือว่า Panther Lake-H อาจอยู่ในกลุ่ม Nova Lake-H แต่ข้อมูลล่าสุดยืนยันว่า Panther Lake จะอยู่ภายใต้ Core Ultra 300 series ไม่ใช่ 400 series อย่างที่เคยเข้าใจผิด https://wccftech.com/intel-panther-lake-h-retail-skus-surface-for-the-first-time/
    WCCFTECH.COM
    Intel’s Panther Lake-H Retail SKUs Surface for the First Time, Revealing New ‘Ultra X’ Naming Scheme & Max Clocks Up to 5.1 GHz
    The first piece of information about Intel's Panther Lake-H, specifically regarding the naming scheme, has surfaced online.
    0 Comments 0 Shares 189 Views 0 Reviews
  • “Rapidus ปลุกชีพอุตสาหกรรมชิปญี่ปุ่น — ดึง IBM และ Tenstorrent ร่วมผลิต 2nm พร้อมท้าชน TSMC และ Intel”

    Rapidus บริษัทผลิตเซมิคอนดักเตอร์สัญชาติญี่ปุ่นกำลังสร้างแรงสั่นสะเทือนครั้งใหญ่ในอุตสาหกรรมชิประดับโลก ด้วยการประกาศความร่วมมือกับบริษัทอเมริกันรายใหญ่ ได้แก่ IBM และ Tenstorrent เพื่อพัฒนาและผลิตชิปขนาด 2 นาโนเมตร โดย Rapidus ตั้งเป้าจะเริ่มส่งมอบต้นแบบให้ลูกค้าในปี 2026 และเข้าสู่การผลิตจำนวนมากในช่วงปลายปี 2026 ถึงต้นปี 2027 ซึ่งเร็วกว่ากำหนดของ TSMC และ Intel

    เทคโนโลยีที่ Rapidus พัฒนาคือ “2HP” ซึ่งใช้โครงสร้างทรานซิสเตอร์แบบ Gate-All-Around (GAA) ที่มีความหนาแน่นของลอจิกสูงกว่าชิป 18A ของ Intel และเทียบเท่ากับ N2 ของ TSMC โดย IBM จะให้การสนับสนุนด้านเทคโนโลยีการบรรจุชิปและการวิจัยร่วม ขณะที่ Tenstorrent ซึ่งมีความเชี่ยวชาญด้าน RISC-V และ AI จะเป็นหนึ่งในลูกค้ารายแรกที่นำชิป 2nm ไปใช้ในผลิตภัณฑ์จริง

    Rapidus ยังมีแผนส่งมอบ PDK (Process Design Kit) ให้กับลูกค้าในไตรมาสแรกของปี 2026 และได้ติดตั้งเครื่องมือผลิตกว่า 200 ชุด รวมถึงเครื่อง EUV ที่โรงงานในเมือง Chitose จังหวัดฮอกไกโด ซึ่งเป็นศูนย์กลางการผลิตหลักของบริษัท

    แม้จะมีข่าวลือว่า NVIDIA กำลังพิจารณาใช้ Rapidus เป็นส่วนหนึ่งของห่วงโซ่อุปทาน แต่ยังไม่มีการยืนยันอย่างเป็นทางการ อย่างไรก็ตาม ความเคลื่อนไหวนี้สะท้อนถึงความพยายามของญี่ปุ่นในการกลับมาเป็นผู้นำด้านเทคโนโลยีชิปอีกครั้ง หลังจากเสียตำแหน่งให้กับไต้หวันและเกาหลีใต้มานานหลายปี

    ข้อมูลสำคัญจากข่าว
    Rapidus ประกาศความร่วมมือกับ IBM และ Tenstorrent เพื่อพัฒนาชิป 2nm
    เทคโนโลยี “2HP” ใช้โครงสร้าง GAA ที่มีความหนาแน่นสูงกว่าชิป 18A ของ Intel
    IBM สนับสนุนด้านการบรรจุชิปและการวิจัยร่วม
    Tenstorrent จะเป็นหนึ่งในลูกค้ารายแรกที่ใช้ชิป 2nm ในผลิตภัณฑ์ AI
    Rapidus ตั้งเป้าส่งมอบ PDK ใน Q1 ปี 2026 และผลิตจำนวนมากในปลายปี 2026
    โรงงานใน Chitose ติดตั้งเครื่องมือผลิตกว่า 200 ชุด รวมถึง EUV lithography
    Rapidus ไม่ตั้งเป้าแข่งตรงกับ TSMC แต่เน้นความคล่องตัวและความเร็วในการผลิต
    มีข่าวลือว่า NVIDIA กำลังพิจารณาใช้ Rapidus ในห่วงโซ่อุปทาน

    ข้อมูลเสริมจากภายนอก
    Tenstorrent มีความเชี่ยวชาญด้าน RISC-V และ AI โดยมี Jim Keller อดีตผู้บริหาร Intel และ AMD เป็น CEO
    IBM เคยเปิดตัวชิป 2nm รุ่นต้นแบบในปี 2021 และร่วมมือกับ Rapidus ตั้งแต่ปี 2022
    GAA transistor เป็นเทคโนโลยีที่มาแทน FinFET โดยให้ประสิทธิภาพสูงและใช้พลังงานต่ำ
    Rapidus ส่งวิศวกรกว่า 150 คนไปฝึกที่สหรัฐฯ เพื่อเรียนรู้การผลิต GAA
    ญี่ปุ่นเคยเป็นผู้นำด้านเซมิคอนดักเตอร์ในยุค 1980s ก่อนจะถูกแซงโดย TSMC และ Samsung

    https://wccftech.com/japan-rapidus-secures-major-american-customers-for-its-2nm-process/
    ⚙️ “Rapidus ปลุกชีพอุตสาหกรรมชิปญี่ปุ่น — ดึง IBM และ Tenstorrent ร่วมผลิต 2nm พร้อมท้าชน TSMC และ Intel” Rapidus บริษัทผลิตเซมิคอนดักเตอร์สัญชาติญี่ปุ่นกำลังสร้างแรงสั่นสะเทือนครั้งใหญ่ในอุตสาหกรรมชิประดับโลก ด้วยการประกาศความร่วมมือกับบริษัทอเมริกันรายใหญ่ ได้แก่ IBM และ Tenstorrent เพื่อพัฒนาและผลิตชิปขนาด 2 นาโนเมตร โดย Rapidus ตั้งเป้าจะเริ่มส่งมอบต้นแบบให้ลูกค้าในปี 2026 และเข้าสู่การผลิตจำนวนมากในช่วงปลายปี 2026 ถึงต้นปี 2027 ซึ่งเร็วกว่ากำหนดของ TSMC และ Intel เทคโนโลยีที่ Rapidus พัฒนาคือ “2HP” ซึ่งใช้โครงสร้างทรานซิสเตอร์แบบ Gate-All-Around (GAA) ที่มีความหนาแน่นของลอจิกสูงกว่าชิป 18A ของ Intel และเทียบเท่ากับ N2 ของ TSMC โดย IBM จะให้การสนับสนุนด้านเทคโนโลยีการบรรจุชิปและการวิจัยร่วม ขณะที่ Tenstorrent ซึ่งมีความเชี่ยวชาญด้าน RISC-V และ AI จะเป็นหนึ่งในลูกค้ารายแรกที่นำชิป 2nm ไปใช้ในผลิตภัณฑ์จริง Rapidus ยังมีแผนส่งมอบ PDK (Process Design Kit) ให้กับลูกค้าในไตรมาสแรกของปี 2026 และได้ติดตั้งเครื่องมือผลิตกว่า 200 ชุด รวมถึงเครื่อง EUV ที่โรงงานในเมือง Chitose จังหวัดฮอกไกโด ซึ่งเป็นศูนย์กลางการผลิตหลักของบริษัท แม้จะมีข่าวลือว่า NVIDIA กำลังพิจารณาใช้ Rapidus เป็นส่วนหนึ่งของห่วงโซ่อุปทาน แต่ยังไม่มีการยืนยันอย่างเป็นทางการ อย่างไรก็ตาม ความเคลื่อนไหวนี้สะท้อนถึงความพยายามของญี่ปุ่นในการกลับมาเป็นผู้นำด้านเทคโนโลยีชิปอีกครั้ง หลังจากเสียตำแหน่งให้กับไต้หวันและเกาหลีใต้มานานหลายปี ✅ ข้อมูลสำคัญจากข่าว ➡️ Rapidus ประกาศความร่วมมือกับ IBM และ Tenstorrent เพื่อพัฒนาชิป 2nm ➡️ เทคโนโลยี “2HP” ใช้โครงสร้าง GAA ที่มีความหนาแน่นสูงกว่าชิป 18A ของ Intel ➡️ IBM สนับสนุนด้านการบรรจุชิปและการวิจัยร่วม ➡️ Tenstorrent จะเป็นหนึ่งในลูกค้ารายแรกที่ใช้ชิป 2nm ในผลิตภัณฑ์ AI ➡️ Rapidus ตั้งเป้าส่งมอบ PDK ใน Q1 ปี 2026 และผลิตจำนวนมากในปลายปี 2026 ➡️ โรงงานใน Chitose ติดตั้งเครื่องมือผลิตกว่า 200 ชุด รวมถึง EUV lithography ➡️ Rapidus ไม่ตั้งเป้าแข่งตรงกับ TSMC แต่เน้นความคล่องตัวและความเร็วในการผลิต ➡️ มีข่าวลือว่า NVIDIA กำลังพิจารณาใช้ Rapidus ในห่วงโซ่อุปทาน ✅ ข้อมูลเสริมจากภายนอก ➡️ Tenstorrent มีความเชี่ยวชาญด้าน RISC-V และ AI โดยมี Jim Keller อดีตผู้บริหาร Intel และ AMD เป็น CEO ➡️ IBM เคยเปิดตัวชิป 2nm รุ่นต้นแบบในปี 2021 และร่วมมือกับ Rapidus ตั้งแต่ปี 2022 ➡️ GAA transistor เป็นเทคโนโลยีที่มาแทน FinFET โดยให้ประสิทธิภาพสูงและใช้พลังงานต่ำ ➡️ Rapidus ส่งวิศวกรกว่า 150 คนไปฝึกที่สหรัฐฯ เพื่อเรียนรู้การผลิต GAA ➡️ ญี่ปุ่นเคยเป็นผู้นำด้านเซมิคอนดักเตอร์ในยุค 1980s ก่อนจะถูกแซงโดย TSMC และ Samsung https://wccftech.com/japan-rapidus-secures-major-american-customers-for-its-2nm-process/
    WCCFTECH.COM
    Japan’s Rapidus Secures ‘Major’ American Customers for Its 2nm Process, Ramping Up the Race Against TSMC and Intel
    Rapidus has stepped up the race in the 2nm segment, as the Japanese chip firm announces support from major American customers.
    0 Comments 0 Shares 236 Views 0 Reviews
  • “Samsung หั่นราคาชิป 2nm ลง 33% — เปิดเกมรุก TSMC หวังพลิกสถานการณ์โรงงานว่าง”

    Samsung Foundry กำลังเดินเกมรุกครั้งใหญ่ในตลาดการผลิตชิประดับสูง ด้วยการลดราคาชิป 2nm ลงเหลือเพียง 20,000 ดอลลาร์ต่อแผ่นเวเฟอร์ ซึ่งต่ำกว่าราคาของ TSMC ที่คาดว่าจะอยู่ที่ 30,000 ดอลลาร์ถึง 33% การลดราคาครั้งนี้ไม่ใช่แค่กลยุทธ์การตลาด แต่เป็นความพยายามของ Samsung ในการเติมเต็มกำลังการผลิตที่ยังว่างอยู่ในโรงงานที่ลงทุนไปหลายพันล้านดอลลาร์ทั้งในเกาหลีใต้และสหรัฐฯ

    แม้ TSMC จะยังครองตลาดด้วยลูกค้ารายใหญ่ เช่น NVIDIA และ AMD ที่เลือกใช้เทคโนโลยี 2nm ของ TSMC สำหรับชิปยุคถัดไป แต่ Samsung ก็เริ่มเห็นผลจากกลยุทธ์ลดราคา โดยสามารถคว้าดีลมูลค่า 16.5 พันล้านดอลลาร์กับ Tesla สำหรับการผลิตชิป AI รุ่นใหม่ที่ใช้ในระบบขับเคลื่อนอัตโนมัติและรถแท็กซี่ไร้คนขับของ Tesla2

    นอกจากนี้ยังมีข่าวลือว่า Samsung อาจได้รับงานผลิตชิปสำหรับโครงการ xAI ของ Elon Musk ด้วย ซึ่งจะเป็นการขยายบทบาทของ Samsung ในตลาด AI hardware ที่กำลังเติบโตอย่างรวดเร็ว

    แม้จะมีความคืบหน้า แต่ Samsung ยังต้องเผชิญกับความท้าทายด้านคุณภาพและ yield rate โดยรายงานล่าสุดระบุว่าอัตราการผลิตสำเร็จของชิป 2nm อยู่ที่ประมาณ 40% และตั้งเป้าไว้ที่ 60% ภายในสิ้นปี 2025 ซึ่งยังต่ำกว่ามาตรฐานของ TSMC ที่มี yield สูงกว่า 80% ในหลายรุ่น

    ข้อมูลสำคัญจากข่าว
    Samsung ลดราคาชิป 2nm เหลือ 20,000 ดอลลาร์ต่อเวเฟอร์ ต่ำกว่า TSMC ถึง 33%
    เป็นกลยุทธ์เพื่อดึงลูกค้าเข้าสู่กำลังการผลิตที่ยังว่างในโรงงานที่ลงทุนไปหลายพันล้านดอลลาร์
    TSMC ยังคงครองตลาดด้วยลูกค้ารายใหญ่ เช่น NVIDIA และ AMD
    Samsung ได้ดีลมูลค่า 16.5 พันล้านดอลลาร์กับ Tesla สำหรับชิป AI รุ่นใหม่
    มีแนวโน้มว่า Samsung อาจผลิตชิปให้กับโครงการ xAI ของ Elon Musk
    Yield rate ของชิป 2nm ของ Samsung อยู่ที่ประมาณ 40% และตั้งเป้าไว้ที่ 60% ภายในสิ้นปี
    Samsung ใช้เทคโนโลยี Gate-All-Around (GAA) ในการพัฒนา node 2nm
    โรงงานในเท็กซัสของ Samsung เป็นจุดยุทธศาสตร์สำคัญในการผลิตชิปในสหรัฐฯ

    ข้อมูลเสริมจากภายนอก
    การผลิตชิประดับ 2nm ต้องใช้เทคโนโลยี lithography ขั้นสูง เช่น EUV (Extreme Ultraviolet)
    Yield rate ต่ำหมายถึงต้นทุนต่อชิปสูงขึ้น แม้ราคาต่อเวเฟอร์จะถูกลง
    TSMC มีส่วนแบ่งตลาดการผลิตชิประดับสูงมากกว่า 67% ขณะที่ Samsung อยู่ที่ประมาณ 7.7%
    การแข่งขันด้านราคาสามารถดึงดูดลูกค้าใหม่ แต่ต้องแลกกับ margin ที่ลดลง
    Tesla และ xAI เป็นผู้เล่นสำคัญในตลาด AI ที่ต้องการชิปประสิทธิภาพสูงและผลิตในสหรัฐฯ

    https://www.techpowerup.com/341465/samsung-cuts-2-nm-node-pricing-by-33-in-tsmc-competition-push
    💥 “Samsung หั่นราคาชิป 2nm ลง 33% — เปิดเกมรุก TSMC หวังพลิกสถานการณ์โรงงานว่าง” Samsung Foundry กำลังเดินเกมรุกครั้งใหญ่ในตลาดการผลิตชิประดับสูง ด้วยการลดราคาชิป 2nm ลงเหลือเพียง 20,000 ดอลลาร์ต่อแผ่นเวเฟอร์ ซึ่งต่ำกว่าราคาของ TSMC ที่คาดว่าจะอยู่ที่ 30,000 ดอลลาร์ถึง 33% การลดราคาครั้งนี้ไม่ใช่แค่กลยุทธ์การตลาด แต่เป็นความพยายามของ Samsung ในการเติมเต็มกำลังการผลิตที่ยังว่างอยู่ในโรงงานที่ลงทุนไปหลายพันล้านดอลลาร์ทั้งในเกาหลีใต้และสหรัฐฯ แม้ TSMC จะยังครองตลาดด้วยลูกค้ารายใหญ่ เช่น NVIDIA และ AMD ที่เลือกใช้เทคโนโลยี 2nm ของ TSMC สำหรับชิปยุคถัดไป แต่ Samsung ก็เริ่มเห็นผลจากกลยุทธ์ลดราคา โดยสามารถคว้าดีลมูลค่า 16.5 พันล้านดอลลาร์กับ Tesla สำหรับการผลิตชิป AI รุ่นใหม่ที่ใช้ในระบบขับเคลื่อนอัตโนมัติและรถแท็กซี่ไร้คนขับของ Tesla2 นอกจากนี้ยังมีข่าวลือว่า Samsung อาจได้รับงานผลิตชิปสำหรับโครงการ xAI ของ Elon Musk ด้วย ซึ่งจะเป็นการขยายบทบาทของ Samsung ในตลาด AI hardware ที่กำลังเติบโตอย่างรวดเร็ว แม้จะมีความคืบหน้า แต่ Samsung ยังต้องเผชิญกับความท้าทายด้านคุณภาพและ yield rate โดยรายงานล่าสุดระบุว่าอัตราการผลิตสำเร็จของชิป 2nm อยู่ที่ประมาณ 40% และตั้งเป้าไว้ที่ 60% ภายในสิ้นปี 2025 ซึ่งยังต่ำกว่ามาตรฐานของ TSMC ที่มี yield สูงกว่า 80% ในหลายรุ่น ✅ ข้อมูลสำคัญจากข่าว ➡️ Samsung ลดราคาชิป 2nm เหลือ 20,000 ดอลลาร์ต่อเวเฟอร์ ต่ำกว่า TSMC ถึง 33% ➡️ เป็นกลยุทธ์เพื่อดึงลูกค้าเข้าสู่กำลังการผลิตที่ยังว่างในโรงงานที่ลงทุนไปหลายพันล้านดอลลาร์ ➡️ TSMC ยังคงครองตลาดด้วยลูกค้ารายใหญ่ เช่น NVIDIA และ AMD ➡️ Samsung ได้ดีลมูลค่า 16.5 พันล้านดอลลาร์กับ Tesla สำหรับชิป AI รุ่นใหม่ ➡️ มีแนวโน้มว่า Samsung อาจผลิตชิปให้กับโครงการ xAI ของ Elon Musk ➡️ Yield rate ของชิป 2nm ของ Samsung อยู่ที่ประมาณ 40% และตั้งเป้าไว้ที่ 60% ภายในสิ้นปี ➡️ Samsung ใช้เทคโนโลยี Gate-All-Around (GAA) ในการพัฒนา node 2nm ➡️ โรงงานในเท็กซัสของ Samsung เป็นจุดยุทธศาสตร์สำคัญในการผลิตชิปในสหรัฐฯ ✅ ข้อมูลเสริมจากภายนอก ➡️ การผลิตชิประดับ 2nm ต้องใช้เทคโนโลยี lithography ขั้นสูง เช่น EUV (Extreme Ultraviolet) ➡️ Yield rate ต่ำหมายถึงต้นทุนต่อชิปสูงขึ้น แม้ราคาต่อเวเฟอร์จะถูกลง ➡️ TSMC มีส่วนแบ่งตลาดการผลิตชิประดับสูงมากกว่า 67% ขณะที่ Samsung อยู่ที่ประมาณ 7.7% ➡️ การแข่งขันด้านราคาสามารถดึงดูดลูกค้าใหม่ แต่ต้องแลกกับ margin ที่ลดลง ➡️ Tesla และ xAI เป็นผู้เล่นสำคัญในตลาด AI ที่ต้องการชิปประสิทธิภาพสูงและผลิตในสหรัฐฯ https://www.techpowerup.com/341465/samsung-cuts-2-nm-node-pricing-by-33-in-tsmc-competition-push
    WWW.TECHPOWERUP.COM
    Samsung Cuts 2 nm Node Pricing by 33% in TSMC Competition Push
    Samsung has cut its 2 nm wafer prices to $20,000 offering a 33% discount compared to TSMC's expected $30,000 per wafer cost, industry reports say. The company aims to draw customers to its underused advanced manufacturing capacity. The Korean chipmaker faces big pressure to get returns on billions i...
    0 Comments 0 Shares 247 Views 0 Reviews
  • “Intel Granite Rapids-WS เปิดตัว — ยักษ์ 86 คอร์ที่พร้อมท้าชน AMD Threadripper 9995WX ในสนามเวิร์กสเตชันระดับสูง”

    Intel กำลังเตรียมเปิดตัวซีพียูรุ่นใหม่ในสาย Granite Rapids-WS ซึ่งออกแบบมาเพื่อแข่งขันโดยตรงกับ AMD Threadripper 9995WX ที่ครองตลาดเวิร์กสเตชันระดับสูงมาหลายปี โดยรุ่นที่ถูกเปิดเผยล่าสุดมีจำนวนคอร์ถึง 86 คอร์ 172 เธรด และสามารถเร่งความเร็วได้สูงสุดถึง 4.8GHz ซึ่งถือว่าใกล้เคียงกับ Threadripper 9995WX ที่มี 96 คอร์ และเร่งได้ถึง 5.4GHz

    Granite Rapids-WS ใช้สถาปัตยกรรมแบบ tile-based โดยแบ่งเป็นสอง compute tiles ซึ่งช่วยลดต้นทุนการผลิตเมื่อเทียบกับการใช้สาม die เพื่อไปถึง 128 คอร์ ซึ่งเป็นขีดจำกัดสูงสุดของสถาปัตยกรรมนี้ แม้จะยังไม่ใช่รุ่นเรือธงเต็มรูปแบบ แต่ก็ถือเป็นก้าวสำคัญของ Intel ในการกลับเข้าสู่การแข่งขันในตลาด HEDT และเวิร์กสเตชัน หลังจากที่ซีรีส์ W-3500 รุ่นก่อนหน้ามีเพียง 60 คอร์เท่านั้น

    นอกจากนี้ยังมีข่าวลือว่า Granite Rapids-WS จะรองรับ PCIe 5.0 สูงสุดถึง 128 เลน, หน่วยความจำ DDR5 แบบ 8-channel และใช้ชิปเซ็ต W890 ซึ่งจะทำให้มันกลายเป็นตัวเลือกที่ทรงพลังสำหรับงานระดับมืออาชีพ เช่น การเรนเดอร์ 3D, การจำลองทางวิศวกรรม และการประมวลผลข้อมูลขนาดใหญ่

    ในฝั่ง AMD นั้น Threadripper 9995WX ยังคงเป็นคู่แข่งที่แข็งแกร่ง ด้วยเทคโนโลยี Zen 5, L3 cache ขนาด 384MB, รองรับ ECC, PCIe Gen 5 และ TDP สูงถึง 350W ซึ่งหมายความว่าผู้ใช้ต้องมีระบบระบายความร้อนระดับสูงเพื่อใช้งานได้เต็มประสิทธิภาพ

    ข้อมูลสำคัญจากข่าว
    Intel เตรียมเปิดตัว Granite Rapids-WS รุ่นใหม่ที่มี 86 คอร์ 172 เธรด
    ความเร็วสูงสุดอยู่ที่ 4.8GHz ซึ่งสูงกว่ารุ่น Xeon 6787P ที่ใช้สถาปัตยกรรมเดียวกัน
    ใช้สถาปัตยกรรมแบบ tile-based โดยใช้สอง compute tiles เพื่อลดต้นทุน
    Granite Rapids รองรับการขยายถึง 128 คอร์ หากใช้สาม die
    รุ่น WS อาจรองรับ PCIe 5.0 สูงสุด 128 เลน และ DDR5 แบบ 8-channel
    ใช้ชิปเซ็ต W890 ซึ่งออกแบบมาสำหรับเวิร์กสเตชันระดับสูง
    เป็นการกลับเข้าสู่ตลาด HEDT ของ Intel หลังจากห่างหายไปหลายปี
    AMD Threadripper 9995WX มี 96 คอร์ Zen 5, เร่งได้ถึง 5.4GHz และ L3 cache 384MB
    รองรับ ECC, PCIe Gen 5 และมี TDP สูงถึง 350W เหมาะกับงานหนักระดับมืออาชีพ

    ข้อมูลเสริมจากภายนอก
    Granite Rapids เป็นสถาปัตยกรรมที่ Intel ใช้ในเซิร์ฟเวอร์ Xeon รุ่นใหม่ เช่น Xeon 6900P
    Threadripper 9995WX ผลิตบนเทคโนโลยี 4nm โดย TSMC และรองรับการโอเวอร์คล็อก
    AMD มีความได้เปรียบในตลาด HEDT มาตั้งแต่ซีรีส์ Threadripper 3000
    Intel เคยเสียส่วนแบ่งตลาดให้ AMD เนื่องจากข้อจำกัดด้านจำนวนคอร์และประสิทธิภาพ
    การแข่งขันครั้งนี้อาจส่งผลให้ราคาซีพียูระดับสูงลดลง และผู้ใช้มีตัวเลือกมากขึ้น

    https://www.tomshardware.com/pc-components/cpus/intel-aims-at-amds-threadripper-with-its-new-granite-rapids-ws-cpu-chip-armed-with-core-count-approaching-the-flagship-amd-threadripper-9995wx-boasts-a-4-8ghz-boost-clock
    ⚙️ “Intel Granite Rapids-WS เปิดตัว — ยักษ์ 86 คอร์ที่พร้อมท้าชน AMD Threadripper 9995WX ในสนามเวิร์กสเตชันระดับสูง” Intel กำลังเตรียมเปิดตัวซีพียูรุ่นใหม่ในสาย Granite Rapids-WS ซึ่งออกแบบมาเพื่อแข่งขันโดยตรงกับ AMD Threadripper 9995WX ที่ครองตลาดเวิร์กสเตชันระดับสูงมาหลายปี โดยรุ่นที่ถูกเปิดเผยล่าสุดมีจำนวนคอร์ถึง 86 คอร์ 172 เธรด และสามารถเร่งความเร็วได้สูงสุดถึง 4.8GHz ซึ่งถือว่าใกล้เคียงกับ Threadripper 9995WX ที่มี 96 คอร์ และเร่งได้ถึง 5.4GHz Granite Rapids-WS ใช้สถาปัตยกรรมแบบ tile-based โดยแบ่งเป็นสอง compute tiles ซึ่งช่วยลดต้นทุนการผลิตเมื่อเทียบกับการใช้สาม die เพื่อไปถึง 128 คอร์ ซึ่งเป็นขีดจำกัดสูงสุดของสถาปัตยกรรมนี้ แม้จะยังไม่ใช่รุ่นเรือธงเต็มรูปแบบ แต่ก็ถือเป็นก้าวสำคัญของ Intel ในการกลับเข้าสู่การแข่งขันในตลาด HEDT และเวิร์กสเตชัน หลังจากที่ซีรีส์ W-3500 รุ่นก่อนหน้ามีเพียง 60 คอร์เท่านั้น นอกจากนี้ยังมีข่าวลือว่า Granite Rapids-WS จะรองรับ PCIe 5.0 สูงสุดถึง 128 เลน, หน่วยความจำ DDR5 แบบ 8-channel และใช้ชิปเซ็ต W890 ซึ่งจะทำให้มันกลายเป็นตัวเลือกที่ทรงพลังสำหรับงานระดับมืออาชีพ เช่น การเรนเดอร์ 3D, การจำลองทางวิศวกรรม และการประมวลผลข้อมูลขนาดใหญ่ ในฝั่ง AMD นั้น Threadripper 9995WX ยังคงเป็นคู่แข่งที่แข็งแกร่ง ด้วยเทคโนโลยี Zen 5, L3 cache ขนาด 384MB, รองรับ ECC, PCIe Gen 5 และ TDP สูงถึง 350W ซึ่งหมายความว่าผู้ใช้ต้องมีระบบระบายความร้อนระดับสูงเพื่อใช้งานได้เต็มประสิทธิภาพ ✅ ข้อมูลสำคัญจากข่าว ➡️ Intel เตรียมเปิดตัว Granite Rapids-WS รุ่นใหม่ที่มี 86 คอร์ 172 เธรด ➡️ ความเร็วสูงสุดอยู่ที่ 4.8GHz ซึ่งสูงกว่ารุ่น Xeon 6787P ที่ใช้สถาปัตยกรรมเดียวกัน ➡️ ใช้สถาปัตยกรรมแบบ tile-based โดยใช้สอง compute tiles เพื่อลดต้นทุน ➡️ Granite Rapids รองรับการขยายถึง 128 คอร์ หากใช้สาม die ➡️ รุ่น WS อาจรองรับ PCIe 5.0 สูงสุด 128 เลน และ DDR5 แบบ 8-channel ➡️ ใช้ชิปเซ็ต W890 ซึ่งออกแบบมาสำหรับเวิร์กสเตชันระดับสูง ➡️ เป็นการกลับเข้าสู่ตลาด HEDT ของ Intel หลังจากห่างหายไปหลายปี ➡️ AMD Threadripper 9995WX มี 96 คอร์ Zen 5, เร่งได้ถึง 5.4GHz และ L3 cache 384MB ➡️ รองรับ ECC, PCIe Gen 5 และมี TDP สูงถึง 350W เหมาะกับงานหนักระดับมืออาชีพ ✅ ข้อมูลเสริมจากภายนอก ➡️ Granite Rapids เป็นสถาปัตยกรรมที่ Intel ใช้ในเซิร์ฟเวอร์ Xeon รุ่นใหม่ เช่น Xeon 6900P ➡️ Threadripper 9995WX ผลิตบนเทคโนโลยี 4nm โดย TSMC และรองรับการโอเวอร์คล็อก ➡️ AMD มีความได้เปรียบในตลาด HEDT มาตั้งแต่ซีรีส์ Threadripper 3000 ➡️ Intel เคยเสียส่วนแบ่งตลาดให้ AMD เนื่องจากข้อจำกัดด้านจำนวนคอร์และประสิทธิภาพ ➡️ การแข่งขันครั้งนี้อาจส่งผลให้ราคาซีพียูระดับสูงลดลง และผู้ใช้มีตัวเลือกมากขึ้น https://www.tomshardware.com/pc-components/cpus/intel-aims-at-amds-threadripper-with-its-new-granite-rapids-ws-cpu-chip-armed-with-core-count-approaching-the-flagship-amd-threadripper-9995wx-boasts-a-4-8ghz-boost-clock
    WWW.TOMSHARDWARE.COM
    Intel aims at AMD's Threadripper with its new Granite Rapids-WS CPU — chip armed with core count approaching the flagship AMD Threadripper 9995WX, boasts a 4.8GHz boost clock
    Granite Rapids-WS has the chance to outdo Threadripper 9000WX in core count, similar to what the architecture has done for Intel on the server side.
    0 Comments 0 Shares 227 Views 0 Reviews
  • “TSMC เร่งสร้างโรงงาน Fab 25 ที่ไต้หวัน — เตรียมผลิตชิป A14 ขนาด 1.4 นาโนเมตรภายในปี 2028”

    TSMC ผู้ผลิตเซมิคอนดักเตอร์รายใหญ่ของโลก ประกาศเดินหน้าโครงการสร้างโรงงานใหม่ชื่อว่า “Fab 25” ที่เมืองไถจง ประเทศไต้หวัน โดยมีเป้าหมายเพื่อผลิตชิป A14 ขนาด 1.4 นาโนเมตร ซึ่งเป็นเทคโนโลยีขั้นสูงสุดในแผนการพัฒนาของบริษัท ณ ขณะนี้

    โรงงาน Fab 25 จะตั้งอยู่ในเขตอุตสาหกรรม Central Taiwan Science Park โดยมีแผนสร้างทั้งหมด 4 โรงงานย่อยภายในพื้นที่เดียวกัน โดยโรงงานแรกจะเริ่มก่อสร้างในไตรมาส 4 ปีนี้ และคาดว่าจะแล้วเสร็จภายในปี 2027 เพื่อเริ่มผลิตเชิงพาณิชย์ในปี 20282

    TSMC ได้เตรียมงบประมาณเบื้องต้นกว่า 500 พันล้านดอลลาร์ไต้หวัน หรือประมาณ 16.38 พันล้านดอลลาร์สหรัฐ สำหรับเฟสแรกของโครงการนี้ ซึ่งถือเป็นการลงทุนครั้งใหญ่เพื่อรองรับความต้องการชิปที่เพิ่มขึ้นจากอุตสาหกรรม AI, รถยนต์อัตโนมัติ และอุปกรณ์ประมวลผลประสิทธิภาพสูง

    แม้จะมีข่าวลือว่าการลงทุนในสหรัฐฯ และปัญหาด้านการบรรจุชิป (packaging) อาจทำให้โครงการในไต้หวันล่าช้า แต่ผู้บริหารของ TSMC ยืนยันว่าแผนงานยังคงเดินหน้าอย่างเต็มที่ และไม่มีการเปลี่ยนแปลงใด ๆ ที่จะกระทบต่อกำหนดการเดิม

    นอกจากนี้ TSMC ยังมีแผนเริ่มผลิตชิป A14 ในโรงงาน Fab 20 ที่เมืองซินจูในเฟส 3 และ 4 ก่อนที่ Fab 25 จะกลายเป็นฐานการผลิตหลักในระยะยาว โดยจะมีการพัฒนาเวอร์ชัน A14 Plus (A14P) ตามมาในภายหลัง เพื่อเพิ่มประสิทธิภาพด้านพลังงานและความหนาแน่นของวงจร

    ข้อมูลสำคัญจากข่าว
    TSMC เตรียมสร้างโรงงาน Fab 25 ที่ไถจง เพื่อผลิตชิป A14 ขนาด 1.4 นาโนเมตร
    เริ่มก่อสร้างในไตรมาส 4 ปี 2025 และคาดว่าจะผลิตเชิงพาณิชย์ในปี 2028
    มีแผนสร้างทั้งหมด 4 โรงงานย่อยภายใน Fab 25
    งบลงทุนเฟสแรกอยู่ที่ 500 พันล้านดอลลาร์ไต้หวัน หรือประมาณ 16.38 พันล้านดอลลาร์สหรัฐ
    Fab 25 จะเป็นฐานการผลิตหลักของชิป A14 ในระยะยาว
    มีการเริ่มผลิต A14 ที่ Fab 20 ก่อนเพื่อทดสอบและเตรียมความพร้อม
    จะมีเวอร์ชัน A14 Plus (A14P) ตามมาเพื่อเพิ่มประสิทธิภาพ
    TSMC ยืนยันว่าไม่มีการล่าช้าจากโครงการในสหรัฐฯ หรือปัญหาด้าน packaging
    โรงงานใหม่จะช่วยลดความเสี่ยงด้าน supply chain และตอบสนองความต้องการของตลาด

    ข้อมูลเสริมจากภายนอก
    ชิป A14 จะมีความหนาแน่นของวงจรสูงกว่ารุ่นก่อนหน้า เช่น N2 และ N3E
    TSMC คาดว่าจะสร้างงานกว่า 4,500 ตำแหน่งจากโครงการ Fab 25
    ความต้องการชิปขนาดเล็กเพิ่มขึ้นจากการเติบโตของ AI และ robotaxi
    TSMC ยังมีแผนสร้างโรงงานในเมืองอื่น เช่น ซินจู, เกาสง และไถหนาน
    อุตสาหกรรมเซมิคอนดักเตอร์ในไต้หวันมีรายได้รวมกว่า 5.5 ล้านล้านดอลลาร์ไต้หวันในปี 2025

    https://www.techpowerup.com/341347/tsmc-fast-tracks-fab-25-to-ramp-a14-node-by-2028
    🏗️ “TSMC เร่งสร้างโรงงาน Fab 25 ที่ไต้หวัน — เตรียมผลิตชิป A14 ขนาด 1.4 นาโนเมตรภายในปี 2028” TSMC ผู้ผลิตเซมิคอนดักเตอร์รายใหญ่ของโลก ประกาศเดินหน้าโครงการสร้างโรงงานใหม่ชื่อว่า “Fab 25” ที่เมืองไถจง ประเทศไต้หวัน โดยมีเป้าหมายเพื่อผลิตชิป A14 ขนาด 1.4 นาโนเมตร ซึ่งเป็นเทคโนโลยีขั้นสูงสุดในแผนการพัฒนาของบริษัท ณ ขณะนี้ โรงงาน Fab 25 จะตั้งอยู่ในเขตอุตสาหกรรม Central Taiwan Science Park โดยมีแผนสร้างทั้งหมด 4 โรงงานย่อยภายในพื้นที่เดียวกัน โดยโรงงานแรกจะเริ่มก่อสร้างในไตรมาส 4 ปีนี้ และคาดว่าจะแล้วเสร็จภายในปี 2027 เพื่อเริ่มผลิตเชิงพาณิชย์ในปี 20282 TSMC ได้เตรียมงบประมาณเบื้องต้นกว่า 500 พันล้านดอลลาร์ไต้หวัน หรือประมาณ 16.38 พันล้านดอลลาร์สหรัฐ สำหรับเฟสแรกของโครงการนี้ ซึ่งถือเป็นการลงทุนครั้งใหญ่เพื่อรองรับความต้องการชิปที่เพิ่มขึ้นจากอุตสาหกรรม AI, รถยนต์อัตโนมัติ และอุปกรณ์ประมวลผลประสิทธิภาพสูง แม้จะมีข่าวลือว่าการลงทุนในสหรัฐฯ และปัญหาด้านการบรรจุชิป (packaging) อาจทำให้โครงการในไต้หวันล่าช้า แต่ผู้บริหารของ TSMC ยืนยันว่าแผนงานยังคงเดินหน้าอย่างเต็มที่ และไม่มีการเปลี่ยนแปลงใด ๆ ที่จะกระทบต่อกำหนดการเดิม นอกจากนี้ TSMC ยังมีแผนเริ่มผลิตชิป A14 ในโรงงาน Fab 20 ที่เมืองซินจูในเฟส 3 และ 4 ก่อนที่ Fab 25 จะกลายเป็นฐานการผลิตหลักในระยะยาว โดยจะมีการพัฒนาเวอร์ชัน A14 Plus (A14P) ตามมาในภายหลัง เพื่อเพิ่มประสิทธิภาพด้านพลังงานและความหนาแน่นของวงจร ✅ ข้อมูลสำคัญจากข่าว ➡️ TSMC เตรียมสร้างโรงงาน Fab 25 ที่ไถจง เพื่อผลิตชิป A14 ขนาด 1.4 นาโนเมตร ➡️ เริ่มก่อสร้างในไตรมาส 4 ปี 2025 และคาดว่าจะผลิตเชิงพาณิชย์ในปี 2028 ➡️ มีแผนสร้างทั้งหมด 4 โรงงานย่อยภายใน Fab 25 ➡️ งบลงทุนเฟสแรกอยู่ที่ 500 พันล้านดอลลาร์ไต้หวัน หรือประมาณ 16.38 พันล้านดอลลาร์สหรัฐ ➡️ Fab 25 จะเป็นฐานการผลิตหลักของชิป A14 ในระยะยาว ➡️ มีการเริ่มผลิต A14 ที่ Fab 20 ก่อนเพื่อทดสอบและเตรียมความพร้อม ➡️ จะมีเวอร์ชัน A14 Plus (A14P) ตามมาเพื่อเพิ่มประสิทธิภาพ ➡️ TSMC ยืนยันว่าไม่มีการล่าช้าจากโครงการในสหรัฐฯ หรือปัญหาด้าน packaging ➡️ โรงงานใหม่จะช่วยลดความเสี่ยงด้าน supply chain และตอบสนองความต้องการของตลาด ✅ ข้อมูลเสริมจากภายนอก ➡️ ชิป A14 จะมีความหนาแน่นของวงจรสูงกว่ารุ่นก่อนหน้า เช่น N2 และ N3E ➡️ TSMC คาดว่าจะสร้างงานกว่า 4,500 ตำแหน่งจากโครงการ Fab 25 ➡️ ความต้องการชิปขนาดเล็กเพิ่มขึ้นจากการเติบโตของ AI และ robotaxi ➡️ TSMC ยังมีแผนสร้างโรงงานในเมืองอื่น เช่น ซินจู, เกาสง และไถหนาน ➡️ อุตสาหกรรมเซมิคอนดักเตอร์ในไต้หวันมีรายได้รวมกว่า 5.5 ล้านล้านดอลลาร์ไต้หวันในปี 2025 https://www.techpowerup.com/341347/tsmc-fast-tracks-fab-25-to-ramp-a14-node-by-2028
    WWW.TECHPOWERUP.COM
    TSMC Fast-Tracks Fab 25 to Ramp A14 Node by 2028
    TSMC is the only semiconductor maker to move its nodes at incredible speeds from design to mass production. According to Taiwanese media outlet Taipei Times, TSCM is expected to lay the groundwork for Fab 25, a multiphase manufacturing complex planned in Taichung's Central Taiwan Science Park. Compa...
    0 Comments 0 Shares 222 Views 0 Reviews
  • Intel จับมือ NVIDIA สร้างชิป x86 พร้อม GPU RTX — แต่ยืนยันจะไม่ทิ้ง GPU ของตัวเอง

    หลังจาก Intel และ NVIDIA ประกาศความร่วมมือครั้งใหญ่ในการพัฒนาชิป x86 รุ่นใหม่ที่รวม CPU ของ Intel เข้ากับ GPU RTX ของ NVIDIA หลายคนตั้งคำถามว่า Intel จะยังเดินหน้าพัฒนา GPU ของตัวเองอยู่หรือไม่ ล่าสุด Intel ได้ออกมายืนยันอย่างชัดเจนว่า “จะยังคงมีผลิตภัณฑ์ GPU ของตัวเองต่อไป” และข้อตกลงกับ NVIDIA เป็นเพียงการเสริมแผนงานเดิม ไม่ใช่การแทนที่

    ในงานแถลงข่าวร่วมระหว่าง Jensen Huang (CEO ของ NVIDIA) และ Lip-Bu Tan (CEO ของ Intel) ทั้งสองฝ่ายเปิดเผยว่าชิปใหม่จะใช้สำหรับพีซีลูกค้าและงาน AI/HPC โดยมีการใช้เทคโนโลยี NVLink เพื่อเชื่อมต่อระหว่าง CPU และ GPU อย่างมีประสิทธิภาพ ซึ่งอาจเริ่มเห็นในชิป Nova Lake รุ่นถัดไปของ Intel ที่จะเปิดตัวในปี 2026

    อย่างไรก็ตาม Intel ยืนยันว่าจะยังคงพัฒนา GPU สาย Arc สำหรับผู้ใช้ทั่วไป และ GPU สาย Gaudi กับ Shores สำหรับงาน AI โดยเฉพาะ ซึ่งแม้จะเริ่มต้นได้ยาก แต่ก็เริ่มมีจุดแข็งในด้านความคุ้มค่า และยังมีแผนเปิดตัว Xe3 “Celestial” และ Xe4 “Druid” ในชิป Panther Lake และ Nova Lake ที่จะเปิดตัวในปี 2025–2026

    นอกจากนี้ยังมีข่าวลือว่า Intel เตรียมเปิดตัว Arc Battlemage รุ่นใหม่สำหรับเดสก์ท็อปและโน้ตบุ๊ก ซึ่งจะเป็นการต่อยอดจาก Arc B-Series ที่เพิ่งเปิดตัวไปไม่นาน และอาจเป็นการตอบโต้การครองตลาด GPU แบบแยกของ NVIDIA ที่มีส่วนแบ่งสูงถึง 94%

    Intel ยืนยันจะยังคงมีผลิตภัณฑ์ GPU ของตัวเอง
    ข้อตกลงกับ NVIDIA เป็นการเสริม ไม่ใช่การแทนที่
    ยังคงพัฒนา GPU สาย Arc, Gaudi และ Shores

    ชิป Panther Lake และ Nova Lake จะใช้ Xe3 และ Xe4
    Xe3 “Celestial” สำหรับกราฟิกหลัก
    Xe4 “Druid” สำหรับงานแสดงผลและการเข้ารหัส/ถอดรหัสสื่อ

    มีข่าวลือว่า Intel เตรียมเปิดตัว Arc Battlemage รุ่นใหม่
    รหัส BMG-G31 สำหรับเดสก์ท็อปและโน้ตบุ๊ก
    อาจเป็นการตอบโต้การครองตลาดของ NVIDIA ที่มีส่วนแบ่ง 94%

    Intel ใช้เทคโนโลยี Foveros ในการออกแบบชิปแบบหลายชิปเล็ต
    คล้ายกับ Kaby Lake-G ที่เคยใช้ GPU ของ AMD
    แต่ครั้งนี้จะใช้ GPU ของ NVIDIA ในบางรุ่นเท่านั้น

    https://wccftech.com/intel-assures-will-continue-to-have-gpu-product-offerings-in-future-nvidia-deal-complimentary/
    📰 Intel จับมือ NVIDIA สร้างชิป x86 พร้อม GPU RTX — แต่ยืนยันจะไม่ทิ้ง GPU ของตัวเอง หลังจาก Intel และ NVIDIA ประกาศความร่วมมือครั้งใหญ่ในการพัฒนาชิป x86 รุ่นใหม่ที่รวม CPU ของ Intel เข้ากับ GPU RTX ของ NVIDIA หลายคนตั้งคำถามว่า Intel จะยังเดินหน้าพัฒนา GPU ของตัวเองอยู่หรือไม่ ล่าสุด Intel ได้ออกมายืนยันอย่างชัดเจนว่า “จะยังคงมีผลิตภัณฑ์ GPU ของตัวเองต่อไป” และข้อตกลงกับ NVIDIA เป็นเพียงการเสริมแผนงานเดิม ไม่ใช่การแทนที่ ในงานแถลงข่าวร่วมระหว่าง Jensen Huang (CEO ของ NVIDIA) และ Lip-Bu Tan (CEO ของ Intel) ทั้งสองฝ่ายเปิดเผยว่าชิปใหม่จะใช้สำหรับพีซีลูกค้าและงาน AI/HPC โดยมีการใช้เทคโนโลยี NVLink เพื่อเชื่อมต่อระหว่าง CPU และ GPU อย่างมีประสิทธิภาพ ซึ่งอาจเริ่มเห็นในชิป Nova Lake รุ่นถัดไปของ Intel ที่จะเปิดตัวในปี 2026 อย่างไรก็ตาม Intel ยืนยันว่าจะยังคงพัฒนา GPU สาย Arc สำหรับผู้ใช้ทั่วไป และ GPU สาย Gaudi กับ Shores สำหรับงาน AI โดยเฉพาะ ซึ่งแม้จะเริ่มต้นได้ยาก แต่ก็เริ่มมีจุดแข็งในด้านความคุ้มค่า และยังมีแผนเปิดตัว Xe3 “Celestial” และ Xe4 “Druid” ในชิป Panther Lake และ Nova Lake ที่จะเปิดตัวในปี 2025–2026 นอกจากนี้ยังมีข่าวลือว่า Intel เตรียมเปิดตัว Arc Battlemage รุ่นใหม่สำหรับเดสก์ท็อปและโน้ตบุ๊ก ซึ่งจะเป็นการต่อยอดจาก Arc B-Series ที่เพิ่งเปิดตัวไปไม่นาน และอาจเป็นการตอบโต้การครองตลาด GPU แบบแยกของ NVIDIA ที่มีส่วนแบ่งสูงถึง 94% ✅ Intel ยืนยันจะยังคงมีผลิตภัณฑ์ GPU ของตัวเอง ➡️ ข้อตกลงกับ NVIDIA เป็นการเสริม ไม่ใช่การแทนที่ ➡️ ยังคงพัฒนา GPU สาย Arc, Gaudi และ Shores ✅ ชิป Panther Lake และ Nova Lake จะใช้ Xe3 และ Xe4 ➡️ Xe3 “Celestial” สำหรับกราฟิกหลัก ➡️ Xe4 “Druid” สำหรับงานแสดงผลและการเข้ารหัส/ถอดรหัสสื่อ ✅ มีข่าวลือว่า Intel เตรียมเปิดตัว Arc Battlemage รุ่นใหม่ ➡️ รหัส BMG-G31 สำหรับเดสก์ท็อปและโน้ตบุ๊ก ➡️ อาจเป็นการตอบโต้การครองตลาดของ NVIDIA ที่มีส่วนแบ่ง 94% ✅ Intel ใช้เทคโนโลยี Foveros ในการออกแบบชิปแบบหลายชิปเล็ต ➡️ คล้ายกับ Kaby Lake-G ที่เคยใช้ GPU ของ AMD ➡️ แต่ครั้งนี้จะใช้ GPU ของ NVIDIA ในบางรุ่นเท่านั้น https://wccftech.com/intel-assures-will-continue-to-have-gpu-product-offerings-in-future-nvidia-deal-complimentary/
    WCCFTECH.COM
    Intel Assures They Will Continue To Have GPU Product Offerings In The Future, NVIDIA Deal Complimentary To Product Roadmap
    Intel has reassured that it will continue to have GPU products in the future despite the new NVIDIA deal, which was announced today.
    0 Comments 0 Shares 191 Views 0 Reviews
  • Apple เตรียมเปิดตัว MacBook Pro รุ่นใหม่พร้อมหน้าจอ OLED แบบสัมผัสในปี 2026

    หลังจากหลายปีที่ Apple ยืนกรานไม่ใส่ระบบสัมผัสใน MacBook ล่าสุดมีรายงานจากนักวิเคราะห์ชื่อดัง Ming-Chi Kuo ว่า Apple เตรียมเปิดตัว MacBook Pro รุ่นใหม่ที่มาพร้อมหน้าจอ OLED และระบบสัมผัสในปี 2026 ซึ่งถือเป็นการเปลี่ยนแปลงครั้งสำคัญในปรัชญาการออกแบบของ Mac

    Kuo ระบุว่า Apple ได้ศึกษาพฤติกรรมผู้ใช้ iPad มาอย่างยาวนาน และพบว่าในบางสถานการณ์ การควบคุมผ่านระบบสัมผัสสามารถเพิ่มประสิทธิภาพการทำงานและประสบการณ์ผู้ใช้ได้อย่างชัดเจน จึงนำไปสู่การตัดสินใจเพิ่มฟีเจอร์นี้ใน MacBook Pro รุ่นใหม่

    นอกจากนี้ยังมีข่าวลือว่า Apple กำลังพัฒนา MacBook รุ่นราคาประหยัดที่ใช้ชิป A-series จาก iPhone ซึ่งอาจเปิดตัวในปี 2025 แต่รุ่นนี้ยังไม่มีแผนจะใส่ระบบสัมผัสในช่วงแรก

    การเปลี่ยนแปลงนี้สะท้อนถึงแนวโน้มที่ Apple อาจรวมระบบปฏิบัติการ macOS และ iPadOS เข้าด้วยกันในอนาคต เพื่อสร้างประสบการณ์ใช้งานที่ไร้รอยต่อระหว่างอุปกรณ์

    Apple เตรียมเปิดตัว MacBook Pro รุ่นใหม่ในปี 2026
    ใช้หน้าจอ OLED พร้อมระบบสัมผัสแบบ on-cell touch เป็นครั้งแรก
    คาดว่าจะเข้าสู่การผลิตจำนวนมากช่วงปลายปี 2026
    อาจเปิดตัวในช่วงไตรมาส 4 ปี 2026 หรือต้นปี 2027

    การเปลี่ยนแปลงนี้สะท้อนถึงแนวคิดใหม่ของ Apple
    จากเดิมที่ Steve Jobs เคยต่อต้านระบบสัมผัสใน MacBook
    Apple เริ่มเห็นประโยชน์จากพฤติกรรมผู้ใช้ iPad

    Apple ยังมีแผนเปิดตัว MacBook รุ่นราคาประหยัด
    ใช้ชิป A-series จาก iPhone เช่น A18 Pro
    คาดว่าจะเปิดตัวในปี 2025
    รุ่นนี้ยังไม่รองรับระบบสัมผัสในช่วงแรก

    แนวโน้มการรวมระบบปฏิบัติการ
    iPadOS 26 เริ่มมีฟีเจอร์ multitasking แบบ macOS
    อาจนำไปสู่การรวม macOS และ iPadOS ในอนาคต

    คำเตือนสำหรับผู้ใช้งานที่คาดหวัง MacBook รุ่นสัมผัสเร็วๆ นี้
    รุ่นสัมผัสจะเริ่มจาก MacBook Pro เท่านั้น ยังไม่ครอบคลุมรุ่นราคาประหยัด
    ยังไม่มีการยืนยันอย่างเป็นทางการจาก Apple
    การเปลี่ยนแปลงนี้อาจใช้เวลาหลายปีในการปรับตัวของผู้ใช้และนักพัฒนา

    https://www.tomshardware.com/laptops/macbooks/apple-prepping-touchscreen-oled-macbook-pro-for-2026-new-report-claims-new-model-will-incorporate-on-cell-touch-tech-for-the-first-time
    📰 Apple เตรียมเปิดตัว MacBook Pro รุ่นใหม่พร้อมหน้าจอ OLED แบบสัมผัสในปี 2026 หลังจากหลายปีที่ Apple ยืนกรานไม่ใส่ระบบสัมผัสใน MacBook ล่าสุดมีรายงานจากนักวิเคราะห์ชื่อดัง Ming-Chi Kuo ว่า Apple เตรียมเปิดตัว MacBook Pro รุ่นใหม่ที่มาพร้อมหน้าจอ OLED และระบบสัมผัสในปี 2026 ซึ่งถือเป็นการเปลี่ยนแปลงครั้งสำคัญในปรัชญาการออกแบบของ Mac Kuo ระบุว่า Apple ได้ศึกษาพฤติกรรมผู้ใช้ iPad มาอย่างยาวนาน และพบว่าในบางสถานการณ์ การควบคุมผ่านระบบสัมผัสสามารถเพิ่มประสิทธิภาพการทำงานและประสบการณ์ผู้ใช้ได้อย่างชัดเจน จึงนำไปสู่การตัดสินใจเพิ่มฟีเจอร์นี้ใน MacBook Pro รุ่นใหม่ นอกจากนี้ยังมีข่าวลือว่า Apple กำลังพัฒนา MacBook รุ่นราคาประหยัดที่ใช้ชิป A-series จาก iPhone ซึ่งอาจเปิดตัวในปี 2025 แต่รุ่นนี้ยังไม่มีแผนจะใส่ระบบสัมผัสในช่วงแรก การเปลี่ยนแปลงนี้สะท้อนถึงแนวโน้มที่ Apple อาจรวมระบบปฏิบัติการ macOS และ iPadOS เข้าด้วยกันในอนาคต เพื่อสร้างประสบการณ์ใช้งานที่ไร้รอยต่อระหว่างอุปกรณ์ ✅ Apple เตรียมเปิดตัว MacBook Pro รุ่นใหม่ในปี 2026 ➡️ ใช้หน้าจอ OLED พร้อมระบบสัมผัสแบบ on-cell touch เป็นครั้งแรก ➡️ คาดว่าจะเข้าสู่การผลิตจำนวนมากช่วงปลายปี 2026 ➡️ อาจเปิดตัวในช่วงไตรมาส 4 ปี 2026 หรือต้นปี 2027 ✅ การเปลี่ยนแปลงนี้สะท้อนถึงแนวคิดใหม่ของ Apple ➡️ จากเดิมที่ Steve Jobs เคยต่อต้านระบบสัมผัสใน MacBook ➡️ Apple เริ่มเห็นประโยชน์จากพฤติกรรมผู้ใช้ iPad ✅ Apple ยังมีแผนเปิดตัว MacBook รุ่นราคาประหยัด ➡️ ใช้ชิป A-series จาก iPhone เช่น A18 Pro ➡️ คาดว่าจะเปิดตัวในปี 2025 ➡️ รุ่นนี้ยังไม่รองรับระบบสัมผัสในช่วงแรก ✅ แนวโน้มการรวมระบบปฏิบัติการ ➡️ iPadOS 26 เริ่มมีฟีเจอร์ multitasking แบบ macOS ➡️ อาจนำไปสู่การรวม macOS และ iPadOS ในอนาคต ‼️ คำเตือนสำหรับผู้ใช้งานที่คาดหวัง MacBook รุ่นสัมผัสเร็วๆ นี้ ⛔ รุ่นสัมผัสจะเริ่มจาก MacBook Pro เท่านั้น ยังไม่ครอบคลุมรุ่นราคาประหยัด ⛔ ยังไม่มีการยืนยันอย่างเป็นทางการจาก Apple ⛔ การเปลี่ยนแปลงนี้อาจใช้เวลาหลายปีในการปรับตัวของผู้ใช้และนักพัฒนา https://www.tomshardware.com/laptops/macbooks/apple-prepping-touchscreen-oled-macbook-pro-for-2026-new-report-claims-new-model-will-incorporate-on-cell-touch-tech-for-the-first-time
    0 Comments 0 Shares 256 Views 0 Reviews
  • ‘ราชทัณฑ์’ ปัดข่าวลือ ‘ทักษิณ’ เลี้ยงพิซซ่า! เผยเป็นบุคคลอื่นสั่งเลี้ยงตำรวจ-ผู้คุม
    https://www.thai-tai.tv/news/21505/
    .
    #ไทยไท #ทักษิณชินวัตร #ราชทัณฑ์ #ข่าวการเมือง #ข่าววันนี้
    ‘ราชทัณฑ์’ ปัดข่าวลือ ‘ทักษิณ’ เลี้ยงพิซซ่า! เผยเป็นบุคคลอื่นสั่งเลี้ยงตำรวจ-ผู้คุม https://www.thai-tai.tv/news/21505/ . #ไทยไท #ทักษิณชินวัตร #ราชทัณฑ์ #ข่าวการเมือง #ข่าววันนี้
    0 Comments 0 Shares 163 Views 0 Reviews
  • “Intel Arc A750 รุ่นต้นแบบโผล่พร้อมแรม 16GB และบัส 512-bit — การทดลองที่ไม่เคยเปิดตัว แต่สะท้อนความทะเยอทะยานของ Intel”

    แม้ Intel Arc A750 จะเปิดตัวไปตั้งแต่ปี 2022 พร้อมสเปกมาตรฐานคือแรม GDDR6 ขนาด 8GB และบัส 256-bit แต่ล่าสุดมีภาพหลุดของรุ่นต้นแบบจากแบรนด์ Gunnir ที่มาพร้อมแรม 16GB และระบุว่ามีบัส 512-bit ซึ่งสร้างความตื่นเต้นให้กับวงการฮาร์ดแวร์ เพราะนี่คือสเปกที่ไม่เคยถูกเปิดเผยหรือวางขายจริง

    ตัวการ์ดถูกพบโดยผู้ใช้ X (Twitter) ชื่อ @komenezumi1006 ซึ่งระบุว่าเป็นตัวอย่างทางวิศวกรรม (engineering sample) ที่ใช้งานได้จริง โดยสามารถแสดงแรม 16GB ใน Task Manager และมีสติ๊กเกอร์ “Intel Arc Sample” ติดอยู่บนตัวการ์ด

    สิ่งที่น่าสนใจคือการ์ดนี้มีเพียงหนึ่ง GPU core เท่านั้น ซึ่งหักล้างข้อสงสัยว่าอาจเป็นรุ่น dual-GPU ที่รวมแรมจากสองตัวเข้าด้วยกัน อย่างไรก็ตาม ยังไม่มีคำอธิบายชัดเจนว่าทำไมถึงมีการระบุบัส 512-bit ซึ่งอาจเป็นการเข้าใจผิดจากการเพิ่มแรมเป็นสองเท่าแล้วคิดว่าบัสต้องเพิ่มตาม

    นอกจากนี้ ตัวการ์ดยังใช้พลังงานผ่าน 2x 8-pin PCIe connectors ซึ่งต่างจากรุ่นขายจริงที่ใช้ 1x 8-pin และ 1x 6-pin และมีดีไซน์แบบ blower-style cooler ที่ดูพร้อมใช้งานจริง แม้จะไม่มีการทดสอบ benchmark แต่ก็ถือเป็นหลักฐานว่าครั้งหนึ่ง Intel เคยพิจารณาทำ A750 รุ่น 16GB ก่อนจะตัดสินใจไม่เปิดตัว

    ในสายผลิตภัณฑ์จริง Intel มี Arc A770 ที่มีแรม 16GB และ Arc B750 รุ่นใหม่ที่มีแรม 10GB ส่วน B770 ที่กำลังจะเปิดตัวก็มีข่าวลือว่าจะมาพร้อมแรม 16GB เช่นกัน ทำให้ A750 รุ่นต้นแบบนี้กลายเป็น “รุ่นกลาง” เพียงตัวเดียวที่มีแรมระดับสูงในสาย Alchemist

    https://www.tomshardware.com/pc-components/gpus/intel-arc-a750-prototype-spotted-with-16gb-vram-engineering-sample-made-by-gunnir-sports-sticker-claiming-a-512-bit-memory-bus
    🧩 “Intel Arc A750 รุ่นต้นแบบโผล่พร้อมแรม 16GB และบัส 512-bit — การทดลองที่ไม่เคยเปิดตัว แต่สะท้อนความทะเยอทะยานของ Intel” แม้ Intel Arc A750 จะเปิดตัวไปตั้งแต่ปี 2022 พร้อมสเปกมาตรฐานคือแรม GDDR6 ขนาด 8GB และบัส 256-bit แต่ล่าสุดมีภาพหลุดของรุ่นต้นแบบจากแบรนด์ Gunnir ที่มาพร้อมแรม 16GB และระบุว่ามีบัส 512-bit ซึ่งสร้างความตื่นเต้นให้กับวงการฮาร์ดแวร์ เพราะนี่คือสเปกที่ไม่เคยถูกเปิดเผยหรือวางขายจริง ตัวการ์ดถูกพบโดยผู้ใช้ X (Twitter) ชื่อ @komenezumi1006 ซึ่งระบุว่าเป็นตัวอย่างทางวิศวกรรม (engineering sample) ที่ใช้งานได้จริง โดยสามารถแสดงแรม 16GB ใน Task Manager และมีสติ๊กเกอร์ “Intel Arc Sample” ติดอยู่บนตัวการ์ด สิ่งที่น่าสนใจคือการ์ดนี้มีเพียงหนึ่ง GPU core เท่านั้น ซึ่งหักล้างข้อสงสัยว่าอาจเป็นรุ่น dual-GPU ที่รวมแรมจากสองตัวเข้าด้วยกัน อย่างไรก็ตาม ยังไม่มีคำอธิบายชัดเจนว่าทำไมถึงมีการระบุบัส 512-bit ซึ่งอาจเป็นการเข้าใจผิดจากการเพิ่มแรมเป็นสองเท่าแล้วคิดว่าบัสต้องเพิ่มตาม นอกจากนี้ ตัวการ์ดยังใช้พลังงานผ่าน 2x 8-pin PCIe connectors ซึ่งต่างจากรุ่นขายจริงที่ใช้ 1x 8-pin และ 1x 6-pin และมีดีไซน์แบบ blower-style cooler ที่ดูพร้อมใช้งานจริง แม้จะไม่มีการทดสอบ benchmark แต่ก็ถือเป็นหลักฐานว่าครั้งหนึ่ง Intel เคยพิจารณาทำ A750 รุ่น 16GB ก่อนจะตัดสินใจไม่เปิดตัว ในสายผลิตภัณฑ์จริง Intel มี Arc A770 ที่มีแรม 16GB และ Arc B750 รุ่นใหม่ที่มีแรม 10GB ส่วน B770 ที่กำลังจะเปิดตัวก็มีข่าวลือว่าจะมาพร้อมแรม 16GB เช่นกัน ทำให้ A750 รุ่นต้นแบบนี้กลายเป็น “รุ่นกลาง” เพียงตัวเดียวที่มีแรมระดับสูงในสาย Alchemist https://www.tomshardware.com/pc-components/gpus/intel-arc-a750-prototype-spotted-with-16gb-vram-engineering-sample-made-by-gunnir-sports-sticker-claiming-a-512-bit-memory-bus
    0 Comments 0 Shares 170 Views 0 Reviews
  • เรื่อง หวังว่าเป็นแค่ข่าวลือ
    “หวังว่าเป็นแค่ข่าวลือ”
    ผมเป็นแฟนประจำของอาจารย์ทนงมากว่าสิบปี ตั้งแต่อาจารย์เขียนบทความในหนังสือพิมพ์ The Nation อ่านบทความอาจารย์แล้วได้ทั้งความรู้ ได้ทั้งความคิด เมื่อวานนี้อาจารย์ทนงรวบรวมเอาความเสือกของฝรั่งที่ต้องการโยงหรือจุ้นจ้าน การสืบสันตติวงศ์ของไทยและตอนนี้เหมือนกำลังเร่งเครื่องมาลงให้อ่าน แถมเอาบทความของ “สิริอัญญา” เรื่อง “ซีเรีย โมเดล” มาลงให้อ่านอีกด้วย สิริอัญญานี้ เป็นมือระดับครูในการวิเคราะห์การเมืองไทย และมองอะไรแบบจอกว้างมีมิติ น่าสนใจมาก
    บังเอิญวันก่อน มีคนมาเล่าให้ผมฟัง ว่าได้ยินพวกสายข่าวระดับลึก กระซิบคุยกันว่า ตอนนี้มีพวก Blackwater มาเป็นฝูง เหมาชั้นอยู่ที่โรงแรม Four Seasons คนเล่าถามผมว่า เขาจะปฏิบัติการ ว.5 กันอีกหรือไง แล้วไอ้น้ำดำนี่มันเป็นใคร
    ผมบอกไม่ใช่น้ำดำที่ตระกูลนั้น เขารับเหมาอยู่ และ Blackwater นี้ ถ้าเป็นอย่างที่ผมเข้าใจ มันจะเป็น ว. 5 แบบสยองไม่ใช่แบบเสียว หวังว่าเรื่องนี้เป็นแค่ข่าวลือ
    เวลาจะมีปฎิบัติการสกปรก เก็บกวาดอะไรในประเทศเป้าหมาย อเมริกานักล่าสันดานชั่ว ไม่จำเป็นต้องใช้กองทัพเข้าไปบุกให้มันอึกทึก ให้คนรู้คนเห็นกันทั้งเมืองแต่นักล่าจะจ้างหน่วยงานนอกระบบให้มารับทำอีกต่อหนึ่ง ค่าจ้างแพงมาก เขาว่าเป็นพันล้านเหรียญต่อหนึ่งปฎิบัติการ (แปลว่าประโยชน์ที่จะได้จากงานทำ ต้องคุ้มกับค่าจ้าง) หน่วยงานพวกนี้ส่วนมากเกิดมาจากพวกซีไอเอเก่า ทหารเก๋า ฯลฯ ออกมาตั้งบริษัท (ตามสั่ง ?!) เหมือนสมัยอเมริกามาตั้งฐานทัพในบ้านเรา ตอนรบกับเวียตนาม ด้านหนึ่งขนทหารขึ้นเครื่องบินไปถล่มเวียตนามซะกระจุย อีกด้านหนึ่งก็ตั้ง Sea Supply, Air America ไปทะลายลาว พ่วงเอาพี่เทพตองสามของผมไปด้วย มันก็เป็นสันดานที่ทำมาตั้งแต่สมัยนู้น 60 ปี มาแล้ว เดี๋ยวนี้ก็ยังทำอยู่ บอกแล้วมันชอบใช้แผนเดิม ๆ แค่เปลี่ยนฉาก เปลี่ยนคน เปลี่ยนเครื่องมือให้สมัยใหม่เท่านั้น
    สมัยอเมริกาเริ่มไล่บี้อิรัค หน่วยงานเก็บกวาดที่ดังกระฉ่อน โลกในตอนนั้น คือ บริษัท Blackwater นี่แหละครับ ตั้งขึ้นมาตั้งแต่ ค.ศ. 1997 โดยนาย Eric Prince ลูกเศรษฐีชาวเมือง Michigan แทนที่จะทำมาหากินขายรถยนต์อย่าง พ่อ ดันไปสมัครเข้าหน่วยงาน Seal ของนักล่า ติดใจเหมือนคนได้สูดยา เขาบอกว่าเขาชอบบรรยากาศ ชอบกลิ่นไอของการต่อสู้ ชอบความรู้สึกเวลาไปปฎิบัติการ มันเร้าใจเหลือทน ก็เลยขายสมบัติเอาเงินมาตั้งศูนย์ ฝึกอบรมทหาร รับจ้างฝึกไอ้เณรให้กองทัพ ต่อมา Blackwater นี้เปลี่ยนชื่อเป็น Xe Servicess ในปี 2009 และเปลี่ยนเป็น Academi ในปี ค.ศ. 2011 เปลี่ยนชื่อคนบริหาร แต่ไม่เปลี่ยนแนว คนปฎิบัติการมีตั้งแต่อดีตนักการทูต นักจารกรรม นักธุรกิจ อดีตซีไอเอ อดีตทหารจากหน่วย Seal หน่วย Swat ฯลฯ ประเภทนักบู๋ครบเครื่อง ผู้วางแผนปฎิบัติการเป็นอดีตทหารระดับนายพล (ไม่ใช่ประเภทที่ได้รองเท้ากอล์ฟคู่เดียวมานะครับ อย่าเข้าใจผิด) ที่ผ่านการรบจนได้เหรียญกล้าหาญประดับแผงเต็มหน้าอก ตั้งแต่สมัยรบที่เกาหลี จนถึงรบกับกองโจรในปัจจุบัน ลูกทีมที่ร่วมปฎิบัติการมีสาระ พัดชาติ เรียกว่า เป็นสหประชาชาติ สาขาสองได้เลย ผลงานที่โดดเด่นไล่มา ตั้งแต่ ปานามา โซมาเลีย บอสเนีย อาฟกานิสถาน อิรัค ลิเบีย และล่าสุด ซีเรีย กับยูเครน
    ที่น่าสนใจคือเหตุการณ์ที่ลิเบีย ยังเป็นเรื่องค้างคาจบไม่ลง ตอนไปปฎิบัติการยกทีมกันเป็น พัน ๆ คน (เขาว่าเอาไป 6,000 คน) เพื่อปฎิบัติการล้มระบอบกัดดา ฟี่ ฝั่งตัวอยู่ 4 ปี อยู่กับทั้งฝ่ายกบฏ และอยู่กับทั้งฝ่ายรัฐบาล เสี้ยมจนได้ที่ ให้ทั้ง 2 ฝ่าย รบกัน ในที่สุดก็ตายกันเป็นเบือทั้ง 2 ฝ่ายนั่นแหละ รัฐบาลแพ้ กัดดาฟี่ถูกเก็บ แต่ฝ่ายกบฏก็ไม่ได้อำนาจเต็มที่ แต่เรื่องที่ค้างอยู่ คือ เรื่องฑูตอเมริกาขณะนั้น นาย Chris Stevens ถูกฆ่าตายที่หน้าสถานกงสุล จนบัดนี้ยังไม่รู้ฝีมือใคร เพราะอะไร ซ้อนกันไปซ่อนกันมา เอาเป็นว่าเมื่อตอนที่สภาสูงได้ตั้งคณะกรรมการสอบหาความจริง คุณนาย Clinton ซึ่งเป็นรมต.ต่างประเทศขณะนั้น ถูกซักถูกฟอกรอบใหญ่ เล่นเอาคุณนายถึงกับเกิดอาการลมจับ ล้มตึง หัวฟาดต้องเข้าโรงหมอ ออกมาก็ปากคอสั่นของดการให้สัมภาษณ์ จืดรับประทานอยู่นาน
    ชาวลิเบียบอกว่าอเมริกาฆ่าปิดปากกันเอง อเมริกาบอก เฮ้ย ! ไอไม่ได้ส่งทหารเข้าไปลิเบียเลยนะ เออ จริง ! ไม่ได้ไปเป็นกองทัพ แต่ดันจ้าง UN สาขา 2 นักเก็บกวาดมือพระกาฬเข้าไปซะ 6,000 คน แล้วจะแก้ตัวอย่างไรถึงจะหลุด ! ?
    ตอนนี้ นาย Eric Prince ไม่ได้เป็นหัวหน้าใหญ่แล้ว เพราะรู้มากไป รัฐบาลอเมริกันใช้วิธีปิดปาก ด้วยการให้สรรพากรตามไล่สอบเรื่องภาษี เลยหนีหน้าไปอยู่แถวอาฟริกา เขาว่าคนมาแทนชื่อ นาย Jamie F Smith เป็นซีไอเอเก่า เป็นผู้นำการปฎิบัติการที่ซีเรีย และยูเครน ผลเป็นอย่างไรคงพอรู้ข่าวกัน
    ผมนึกถึงเรื่องฑูต Stevens นอนตายอยู่หน้าสถานกงสุลอเมริกัน นึกถึงการเสือกของอเมริกาและพวก นึกถึงเป้าหมายที่แท้จริงของนักล่า นึกถึงซีเรียโมเดลที่ “สิริอัญญา” เขียน นึกถึงทฤษฎีฝูงผึ้ง ปฎิบัติการของนาย Gene Sharp (ผมเขียนไว้ในนิทานเรื่องยุทธการฝูงผึ้ง ช่วยกลับไปอ่านกันอีกสักรอบนะครับ เดี๋ยวจะเอา link มาลงใหม่) นึกถึงข่าวที่ว่า Blackwater เข้ามาอยู่ในบ้านเราแล้ว ผมขอให้เป็นแค่ข่าวลือ
    คนอ่านนิทานของผม ฉลาดหัวไวเข้าใจเรื่องเร็วกันทั้งนั้น ไม่ต้องเขียนมาก อย่างที่อาจารย์ทนงบอก ต้องระวัง เตรียมรับมือกันหน่อยครับ
    สวัสดีครับ (วันนี้ ฮาไม่ออก !)
คนเล่านิทาน
4 มิย. 57
    ลิงค์ประกอบโพสนี้
    ต้องระวัง เตรียมรับมือฝรั่งต้องการโยงหรือจุ้นจ้านการสืบสันติวงศ์ในไทย
https://www.facebook.com/ThanongFanclub/photos/a.141923686004014.1073741827.141826422680407/236958279833887/?type=1&theater
    ซีเรียโมเดล
https://www.facebook.com/Paisal.Fanpage/photos/a.206278162804197.41238.206264556138891/600174116747931/?type=1&theater
    ยุทธการฝูงผึ้ง
https://www.dropbox.com/s/zrbj9r4g5oe0qr0/bb.pdf
    เรื่อง หวังว่าเป็นแค่ข่าวลือ “หวังว่าเป็นแค่ข่าวลือ” ผมเป็นแฟนประจำของอาจารย์ทนงมากว่าสิบปี ตั้งแต่อาจารย์เขียนบทความในหนังสือพิมพ์ The Nation อ่านบทความอาจารย์แล้วได้ทั้งความรู้ ได้ทั้งความคิด เมื่อวานนี้อาจารย์ทนงรวบรวมเอาความเสือกของฝรั่งที่ต้องการโยงหรือจุ้นจ้าน การสืบสันตติวงศ์ของไทยและตอนนี้เหมือนกำลังเร่งเครื่องมาลงให้อ่าน แถมเอาบทความของ “สิริอัญญา” เรื่อง “ซีเรีย โมเดล” มาลงให้อ่านอีกด้วย สิริอัญญานี้ เป็นมือระดับครูในการวิเคราะห์การเมืองไทย และมองอะไรแบบจอกว้างมีมิติ น่าสนใจมาก บังเอิญวันก่อน มีคนมาเล่าให้ผมฟัง ว่าได้ยินพวกสายข่าวระดับลึก กระซิบคุยกันว่า ตอนนี้มีพวก Blackwater มาเป็นฝูง เหมาชั้นอยู่ที่โรงแรม Four Seasons คนเล่าถามผมว่า เขาจะปฏิบัติการ ว.5 กันอีกหรือไง แล้วไอ้น้ำดำนี่มันเป็นใคร ผมบอกไม่ใช่น้ำดำที่ตระกูลนั้น เขารับเหมาอยู่ และ Blackwater นี้ ถ้าเป็นอย่างที่ผมเข้าใจ มันจะเป็น ว. 5 แบบสยองไม่ใช่แบบเสียว หวังว่าเรื่องนี้เป็นแค่ข่าวลือ เวลาจะมีปฎิบัติการสกปรก เก็บกวาดอะไรในประเทศเป้าหมาย อเมริกานักล่าสันดานชั่ว ไม่จำเป็นต้องใช้กองทัพเข้าไปบุกให้มันอึกทึก ให้คนรู้คนเห็นกันทั้งเมืองแต่นักล่าจะจ้างหน่วยงานนอกระบบให้มารับทำอีกต่อหนึ่ง ค่าจ้างแพงมาก เขาว่าเป็นพันล้านเหรียญต่อหนึ่งปฎิบัติการ (แปลว่าประโยชน์ที่จะได้จากงานทำ ต้องคุ้มกับค่าจ้าง) หน่วยงานพวกนี้ส่วนมากเกิดมาจากพวกซีไอเอเก่า ทหารเก๋า ฯลฯ ออกมาตั้งบริษัท (ตามสั่ง ?!) เหมือนสมัยอเมริกามาตั้งฐานทัพในบ้านเรา ตอนรบกับเวียตนาม ด้านหนึ่งขนทหารขึ้นเครื่องบินไปถล่มเวียตนามซะกระจุย อีกด้านหนึ่งก็ตั้ง Sea Supply, Air America ไปทะลายลาว พ่วงเอาพี่เทพตองสามของผมไปด้วย มันก็เป็นสันดานที่ทำมาตั้งแต่สมัยนู้น 60 ปี มาแล้ว เดี๋ยวนี้ก็ยังทำอยู่ บอกแล้วมันชอบใช้แผนเดิม ๆ แค่เปลี่ยนฉาก เปลี่ยนคน เปลี่ยนเครื่องมือให้สมัยใหม่เท่านั้น สมัยอเมริกาเริ่มไล่บี้อิรัค หน่วยงานเก็บกวาดที่ดังกระฉ่อน โลกในตอนนั้น คือ บริษัท Blackwater นี่แหละครับ ตั้งขึ้นมาตั้งแต่ ค.ศ. 1997 โดยนาย Eric Prince ลูกเศรษฐีชาวเมือง Michigan แทนที่จะทำมาหากินขายรถยนต์อย่าง พ่อ ดันไปสมัครเข้าหน่วยงาน Seal ของนักล่า ติดใจเหมือนคนได้สูดยา เขาบอกว่าเขาชอบบรรยากาศ ชอบกลิ่นไอของการต่อสู้ ชอบความรู้สึกเวลาไปปฎิบัติการ มันเร้าใจเหลือทน ก็เลยขายสมบัติเอาเงินมาตั้งศูนย์ ฝึกอบรมทหาร รับจ้างฝึกไอ้เณรให้กองทัพ ต่อมา Blackwater นี้เปลี่ยนชื่อเป็น Xe Servicess ในปี 2009 และเปลี่ยนเป็น Academi ในปี ค.ศ. 2011 เปลี่ยนชื่อคนบริหาร แต่ไม่เปลี่ยนแนว คนปฎิบัติการมีตั้งแต่อดีตนักการทูต นักจารกรรม นักธุรกิจ อดีตซีไอเอ อดีตทหารจากหน่วย Seal หน่วย Swat ฯลฯ ประเภทนักบู๋ครบเครื่อง ผู้วางแผนปฎิบัติการเป็นอดีตทหารระดับนายพล (ไม่ใช่ประเภทที่ได้รองเท้ากอล์ฟคู่เดียวมานะครับ อย่าเข้าใจผิด) ที่ผ่านการรบจนได้เหรียญกล้าหาญประดับแผงเต็มหน้าอก ตั้งแต่สมัยรบที่เกาหลี จนถึงรบกับกองโจรในปัจจุบัน ลูกทีมที่ร่วมปฎิบัติการมีสาระ พัดชาติ เรียกว่า เป็นสหประชาชาติ สาขาสองได้เลย ผลงานที่โดดเด่นไล่มา ตั้งแต่ ปานามา โซมาเลีย บอสเนีย อาฟกานิสถาน อิรัค ลิเบีย และล่าสุด ซีเรีย กับยูเครน ที่น่าสนใจคือเหตุการณ์ที่ลิเบีย ยังเป็นเรื่องค้างคาจบไม่ลง ตอนไปปฎิบัติการยกทีมกันเป็น พัน ๆ คน (เขาว่าเอาไป 6,000 คน) เพื่อปฎิบัติการล้มระบอบกัดดา ฟี่ ฝั่งตัวอยู่ 4 ปี อยู่กับทั้งฝ่ายกบฏ และอยู่กับทั้งฝ่ายรัฐบาล เสี้ยมจนได้ที่ ให้ทั้ง 2 ฝ่าย รบกัน ในที่สุดก็ตายกันเป็นเบือทั้ง 2 ฝ่ายนั่นแหละ รัฐบาลแพ้ กัดดาฟี่ถูกเก็บ แต่ฝ่ายกบฏก็ไม่ได้อำนาจเต็มที่ แต่เรื่องที่ค้างอยู่ คือ เรื่องฑูตอเมริกาขณะนั้น นาย Chris Stevens ถูกฆ่าตายที่หน้าสถานกงสุล จนบัดนี้ยังไม่รู้ฝีมือใคร เพราะอะไร ซ้อนกันไปซ่อนกันมา เอาเป็นว่าเมื่อตอนที่สภาสูงได้ตั้งคณะกรรมการสอบหาความจริง คุณนาย Clinton ซึ่งเป็นรมต.ต่างประเทศขณะนั้น ถูกซักถูกฟอกรอบใหญ่ เล่นเอาคุณนายถึงกับเกิดอาการลมจับ ล้มตึง หัวฟาดต้องเข้าโรงหมอ ออกมาก็ปากคอสั่นของดการให้สัมภาษณ์ จืดรับประทานอยู่นาน ชาวลิเบียบอกว่าอเมริกาฆ่าปิดปากกันเอง อเมริกาบอก เฮ้ย ! ไอไม่ได้ส่งทหารเข้าไปลิเบียเลยนะ เออ จริง ! ไม่ได้ไปเป็นกองทัพ แต่ดันจ้าง UN สาขา 2 นักเก็บกวาดมือพระกาฬเข้าไปซะ 6,000 คน แล้วจะแก้ตัวอย่างไรถึงจะหลุด ! ? ตอนนี้ นาย Eric Prince ไม่ได้เป็นหัวหน้าใหญ่แล้ว เพราะรู้มากไป รัฐบาลอเมริกันใช้วิธีปิดปาก ด้วยการให้สรรพากรตามไล่สอบเรื่องภาษี เลยหนีหน้าไปอยู่แถวอาฟริกา เขาว่าคนมาแทนชื่อ นาย Jamie F Smith เป็นซีไอเอเก่า เป็นผู้นำการปฎิบัติการที่ซีเรีย และยูเครน ผลเป็นอย่างไรคงพอรู้ข่าวกัน ผมนึกถึงเรื่องฑูต Stevens นอนตายอยู่หน้าสถานกงสุลอเมริกัน นึกถึงการเสือกของอเมริกาและพวก นึกถึงเป้าหมายที่แท้จริงของนักล่า นึกถึงซีเรียโมเดลที่ “สิริอัญญา” เขียน นึกถึงทฤษฎีฝูงผึ้ง ปฎิบัติการของนาย Gene Sharp (ผมเขียนไว้ในนิทานเรื่องยุทธการฝูงผึ้ง ช่วยกลับไปอ่านกันอีกสักรอบนะครับ เดี๋ยวจะเอา link มาลงใหม่) นึกถึงข่าวที่ว่า Blackwater เข้ามาอยู่ในบ้านเราแล้ว ผมขอให้เป็นแค่ข่าวลือ คนอ่านนิทานของผม ฉลาดหัวไวเข้าใจเรื่องเร็วกันทั้งนั้น ไม่ต้องเขียนมาก อย่างที่อาจารย์ทนงบอก ต้องระวัง เตรียมรับมือกันหน่อยครับ สวัสดีครับ (วันนี้ ฮาไม่ออก !)
คนเล่านิทาน
4 มิย. 57 ลิงค์ประกอบโพสนี้ ต้องระวัง เตรียมรับมือฝรั่งต้องการโยงหรือจุ้นจ้านการสืบสันติวงศ์ในไทย
https://www.facebook.com/ThanongFanclub/photos/a.141923686004014.1073741827.141826422680407/236958279833887/?type=1&theater ซีเรียโมเดล
https://www.facebook.com/Paisal.Fanpage/photos/a.206278162804197.41238.206264556138891/600174116747931/?type=1&theater ยุทธการฝูงผึ้ง
https://www.dropbox.com/s/zrbj9r4g5oe0qr0/bb.pdf
    0 Comments 0 Shares 358 Views 0 Reviews
  • ขอให้เป็นแค่ข่าวลือ! รมว.ยุติธรรม สายตรงบุรีรัมย์ 13/09/68 #วิโรจน์ #พรรคประชาชน #คดีฮั้ว สว. #อนุทิน
    ขอให้เป็นแค่ข่าวลือ! รมว.ยุติธรรม สายตรงบุรีรัมย์ 13/09/68 #วิโรจน์ #พรรคประชาชน #คดีฮั้ว สว. #อนุทิน
    Like
    2
    0 Comments 0 Shares 448 Views 0 0 Reviews
  • แหกคอก ตอนที่ 6 – พระเจ้าเงินตรา

    นิทานเรื่องจริง เรื่อง ” แหกคอก ”
    ตอนที่ 6 : พระเจ้าเงินตรา
    ไม่นานเกินรอ ทางฝั่งอเมริกาในปี ค.ศ.1907 การเงินประเทศเกิดอาการสะอึก จากฝีมือที่มองไม่เห็น ทำให้วงการธนาคารเกิดอาการซวนเซ ข่าวลือว่าเป็นแผนการของ J.P Morgan นก 2 หัว พยายามกดดันให้รัฐบาลอเมริกัน สร้างระบบการธนาคารที่มั่นคง ปี ค.ศ.1910 ได้มีการประชุมกันที่ Jekyll Island ซึ่งมีการวางแผนที่จะตั้ง National Reserve Association มีสาขา 15 แห่ง ควบคุมโดยนายธนาคาร ซึ่งได้รับมอบอำนาจมาจากรัฐบาลกลาง เพื่อให้ทำหน้าที่เป็นธนาคารกลาง สามารถพิมพ์เงินเองได้ และให้เงินยืมแก่ธนาคารเอกชนได้ ประธานาธิบดี Woodlow Wilson ยินยอมเดินตามแผนนี้เกือบทุกอ ย่าง ในที่สุด ปี ค.ศ.1913 Federal Reserve หรือ Fed ก็ก่อตั้งขึ้น สามารถหารายได้เองได้ กำหนดงบประมาณของตนเองได้ โดยไม่ต้องผ่านสภาสูง Fed มีสาขา 12 แห่ง แต่ละแห่งถือหุ้นโดยธนาคารพาณิชย์ (ผมได้เคยเล่านิทานตอนนี้ไว้อย่างละเอียด อยู่ในนิทานเรื่องมายากลยุทธ ท่านใดยังไม่เคยอ่าน ช่วยกลับไปอ่านหน่อยนะครับ จะได้ไม่ต้องเขียนซ้ำ)
    แล้วอำนาจที่แท้จริงในการครองโลก ก็อยู่ในกำมือของกลุ่มผู้ถือหุ้นเอกชน Anglo American Establishment ไม่กี่ตระกูล ซึ่งเป็นเจ้าของธนาคารระหว่างประเทศ ที่เข้าไปถือหุ้นในธนาคารกลางของโลกทั้งนั้นแหละ คือผู้มีอำนาจควบคุมโลกตัวจริง เป็นผู้สร้างผู้ปกครองผู้บริหารประเทศ เพื่อให้เป็นไปตามที่พวกเขาต้องการ ใครมันจะทำอะไรได้ถ้าไม่มีเงิน เงินเท่านั้น ที่มนุษย์ทั่วไปมองเห็นและให้ความเคารพนับถือ เชื่อ ใช้ บูชา ทุนคืออำนาจ อำนาจคือทุน จริงหรือไม่
    ที่ว่าไม่กี่ตระกูลที่ครองโลกอยู่ขณะนี้เป็นใครบ้างล่ะ มารู้จักชื่อแซ่พระเจ้าเงินตรากันหน่อย เขาว่ามี 8 ตระกูล หรือกลุ่ม หรือก๊วน แล้วแต่จะเรียก 4 ก๊วนอยู่ทางฝั่งอเมริกา อีก 4 อยู่ทางอังกฤษและยุโรป
    ฝั่งอเมริกา
    – Goldman Sachs
    – Rockefellers
    – Lehman of New York
    – Kuhn Loebs of New York
    ฝั่งอังกฤษและยุโรป
    – Rothschilds of Paris, London
    – Warburg of Hamburg
    – Lazards of Paris
    – Israel Moses Seifs of Rome
    กว่าจะมาเป็น 8 ก๊วนคนโคตรรวย เขาผ่านการหักหลัง หักคอ ควบรวม ไปจนถึงคลุมถุงให้แต่งงาน เพื่อจะรักษาความรวยและเลือดเนื้อ เชื้อไข คนรวย ให้อยู่แต่ในกลุ่มก้อนเดียวกัน ส่วน BIS ซึ่งเป็นธนาคารกลางตัวแม่ มีอิทธิพลสูงสุด ควบคุมธนาคารเกือบทั้งหมดในประเทศ แถบตะวันตก และประเทศที่กำลังพัฒนา (อย่างเราๆ ) ก็ถือหุ้นโดย Federal Reserve (ของอเมริกา), Bank of England, Bank of Italy, Bank of Canada, Swiss National Bank, Nederlandsche Bank, Bundesbank และ Bank of France โดยมี 8 ก๊วนคนโคตรรวย ต่างถือหุ้นใน 8 ธนาคารกลางดังกล่าวอีกต่อหนึ่ง
    ประธาน BIS คนแรกคือ นาย Gates McGarrah ซึ่งมาจาก Chase Manhatton Bank ของตระกูล Rockefeller และเป็นเจ้าหน้าที่ของ Federal Reserve ด้วย นาย McGarrah นี้ เป็นปู่ของนาย Richard McGarrah Helmes หัวหน้า CIA ตัวใหญ่ สมัย ค.ศ.1966-1973
    รัฐบาลอเมริกาเอง ในประวัติศาสตร์ก็ขยาด BIS และพยายามที่จะล้ม BIS มาแล้ว ในการประชุมที่ Bretton Woods เมื่อปี ค.ศ.1944 หลังสงครามโลกครั้งที่ 2 แต่ถูก 8 ก๊วนโคตรรวยจับมือกันป่วน นอกจากล้ม BIS ไม่ได้แล้ว 8 ก๊วน ยังท้าทายด้วยการตั้ง IMF และ World Bank ตามแผนของพวกเขา เพื่อสั่งสอนรัฐบาลอเมริกันอีก
    BIS ถือ 10% ของเงินสำรอง (Reserves) ในประมาณ 80 ธนาคารกลางทั่วโลก รวมทั้งใน IMF และสถาบันการเงินนานาชาติอีกหลายแห่ง BIS นอกจากเป็นแม่ใหญ่ของธนาคารกลางของ 8 ก๊วนแล้ว ยังแอบทำกิจกรรมสำคัญด้วยคือ เก็บข้อมูลเกี่ยวกับการเงินและเศรษฐกิจของทั้งโลก (รู้มากที่สุด ได้เปรียบมากที่สุด) และเป็นแหล่งเงินกู้ให้ธนาคารพาณิชย์กู้ ในเวลาวิกฤติเพื่อไม่ให้สถาบันการเงินโลกล้มระเนระนาดด้วย ยังมีข้อมูลน่าศึกษาเกี่ยวกับ BIS อีกแยะ วันนี้เอาแค่ให้เห็นภาพกว้างๆ ก่อน
    คนเล่านิทาน
    30 พค. 57
    แหกคอก ตอนที่ 6 – พระเจ้าเงินตรา นิทานเรื่องจริง เรื่อง ” แหกคอก ” ตอนที่ 6 : พระเจ้าเงินตรา ไม่นานเกินรอ ทางฝั่งอเมริกาในปี ค.ศ.1907 การเงินประเทศเกิดอาการสะอึก จากฝีมือที่มองไม่เห็น ทำให้วงการธนาคารเกิดอาการซวนเซ ข่าวลือว่าเป็นแผนการของ J.P Morgan นก 2 หัว พยายามกดดันให้รัฐบาลอเมริกัน สร้างระบบการธนาคารที่มั่นคง ปี ค.ศ.1910 ได้มีการประชุมกันที่ Jekyll Island ซึ่งมีการวางแผนที่จะตั้ง National Reserve Association มีสาขา 15 แห่ง ควบคุมโดยนายธนาคาร ซึ่งได้รับมอบอำนาจมาจากรัฐบาลกลาง เพื่อให้ทำหน้าที่เป็นธนาคารกลาง สามารถพิมพ์เงินเองได้ และให้เงินยืมแก่ธนาคารเอกชนได้ ประธานาธิบดี Woodlow Wilson ยินยอมเดินตามแผนนี้เกือบทุกอ ย่าง ในที่สุด ปี ค.ศ.1913 Federal Reserve หรือ Fed ก็ก่อตั้งขึ้น สามารถหารายได้เองได้ กำหนดงบประมาณของตนเองได้ โดยไม่ต้องผ่านสภาสูง Fed มีสาขา 12 แห่ง แต่ละแห่งถือหุ้นโดยธนาคารพาณิชย์ (ผมได้เคยเล่านิทานตอนนี้ไว้อย่างละเอียด อยู่ในนิทานเรื่องมายากลยุทธ ท่านใดยังไม่เคยอ่าน ช่วยกลับไปอ่านหน่อยนะครับ จะได้ไม่ต้องเขียนซ้ำ) แล้วอำนาจที่แท้จริงในการครองโลก ก็อยู่ในกำมือของกลุ่มผู้ถือหุ้นเอกชน Anglo American Establishment ไม่กี่ตระกูล ซึ่งเป็นเจ้าของธนาคารระหว่างประเทศ ที่เข้าไปถือหุ้นในธนาคารกลางของโลกทั้งนั้นแหละ คือผู้มีอำนาจควบคุมโลกตัวจริง เป็นผู้สร้างผู้ปกครองผู้บริหารประเทศ เพื่อให้เป็นไปตามที่พวกเขาต้องการ ใครมันจะทำอะไรได้ถ้าไม่มีเงิน เงินเท่านั้น ที่มนุษย์ทั่วไปมองเห็นและให้ความเคารพนับถือ เชื่อ ใช้ บูชา ทุนคืออำนาจ อำนาจคือทุน จริงหรือไม่ ที่ว่าไม่กี่ตระกูลที่ครองโลกอยู่ขณะนี้เป็นใครบ้างล่ะ มารู้จักชื่อแซ่พระเจ้าเงินตรากันหน่อย เขาว่ามี 8 ตระกูล หรือกลุ่ม หรือก๊วน แล้วแต่จะเรียก 4 ก๊วนอยู่ทางฝั่งอเมริกา อีก 4 อยู่ทางอังกฤษและยุโรป ฝั่งอเมริกา – Goldman Sachs – Rockefellers – Lehman of New York – Kuhn Loebs of New York ฝั่งอังกฤษและยุโรป – Rothschilds of Paris, London – Warburg of Hamburg – Lazards of Paris – Israel Moses Seifs of Rome กว่าจะมาเป็น 8 ก๊วนคนโคตรรวย เขาผ่านการหักหลัง หักคอ ควบรวม ไปจนถึงคลุมถุงให้แต่งงาน เพื่อจะรักษาความรวยและเลือดเนื้อ เชื้อไข คนรวย ให้อยู่แต่ในกลุ่มก้อนเดียวกัน ส่วน BIS ซึ่งเป็นธนาคารกลางตัวแม่ มีอิทธิพลสูงสุด ควบคุมธนาคารเกือบทั้งหมดในประเทศ แถบตะวันตก และประเทศที่กำลังพัฒนา (อย่างเราๆ ) ก็ถือหุ้นโดย Federal Reserve (ของอเมริกา), Bank of England, Bank of Italy, Bank of Canada, Swiss National Bank, Nederlandsche Bank, Bundesbank และ Bank of France โดยมี 8 ก๊วนคนโคตรรวย ต่างถือหุ้นใน 8 ธนาคารกลางดังกล่าวอีกต่อหนึ่ง ประธาน BIS คนแรกคือ นาย Gates McGarrah ซึ่งมาจาก Chase Manhatton Bank ของตระกูล Rockefeller และเป็นเจ้าหน้าที่ของ Federal Reserve ด้วย นาย McGarrah นี้ เป็นปู่ของนาย Richard McGarrah Helmes หัวหน้า CIA ตัวใหญ่ สมัย ค.ศ.1966-1973 รัฐบาลอเมริกาเอง ในประวัติศาสตร์ก็ขยาด BIS และพยายามที่จะล้ม BIS มาแล้ว ในการประชุมที่ Bretton Woods เมื่อปี ค.ศ.1944 หลังสงครามโลกครั้งที่ 2 แต่ถูก 8 ก๊วนโคตรรวยจับมือกันป่วน นอกจากล้ม BIS ไม่ได้แล้ว 8 ก๊วน ยังท้าทายด้วยการตั้ง IMF และ World Bank ตามแผนของพวกเขา เพื่อสั่งสอนรัฐบาลอเมริกันอีก BIS ถือ 10% ของเงินสำรอง (Reserves) ในประมาณ 80 ธนาคารกลางทั่วโลก รวมทั้งใน IMF และสถาบันการเงินนานาชาติอีกหลายแห่ง BIS นอกจากเป็นแม่ใหญ่ของธนาคารกลางของ 8 ก๊วนแล้ว ยังแอบทำกิจกรรมสำคัญด้วยคือ เก็บข้อมูลเกี่ยวกับการเงินและเศรษฐกิจของทั้งโลก (รู้มากที่สุด ได้เปรียบมากที่สุด) และเป็นแหล่งเงินกู้ให้ธนาคารพาณิชย์กู้ ในเวลาวิกฤติเพื่อไม่ให้สถาบันการเงินโลกล้มระเนระนาดด้วย ยังมีข้อมูลน่าศึกษาเกี่ยวกับ BIS อีกแยะ วันนี้เอาแค่ให้เห็นภาพกว้างๆ ก่อน คนเล่านิทาน 30 พค. 57
    0 Comments 0 Shares 416 Views 0 Reviews
  • ข่าวลือ!! รักสามเศร้า : [News story]

    ข่าวลือ!! รักสามเศร้า ระหว่างเคนทาโร่-นากาโนะ เมอิและช่างทำผม
    ข่าวลือ!! รักสามเศร้า : [News story] ข่าวลือ!! รักสามเศร้า ระหว่างเคนทาโร่-นากาโนะ เมอิและช่างทำผม
    0 Comments 0 Shares 403 Views 0 0 Reviews
  • “Intel ยืนยันรีเฟรช Arrow Lake ปี 2026 ก่อนเปิดตัว Nova Lake ปลายปี — ยอมรับมีช่องโหว่ในตลาดเดสก์ท็อป แต่มั่นใจแผนระยะยาว”

    ในงานประชุมเทคโนโลยีของ Goldman Sachs ล่าสุด Intel ได้ออกมายืนยันแผนการเปิดตัวซีพียูรุ่นใหม่ โดยจะเริ่มจากการรีเฟรช Arrow Lake ในช่วงครึ่งแรกของปี 2026 ก่อนจะเปิดตัว Nova Lake ซึ่งเป็นสถาปัตยกรรมใหม่อย่างแท้จริงในช่วงปลายปีเดียวกัน และอาจลากยาวไปถึงต้นปี 2027

    John Pitzer รองประธานฝ่ายนักลงทุนสัมพันธ์ของ Intel ยอมรับว่า “มีช่องโหว่ที่ต้องเติมเต็มในตลาดเดสก์ท็อป” โดยเฉพาะเมื่อ AMD ยังคงครองตำแหน่งผู้นำด้านประสิทธิภาพการเล่นเกมด้วย Ryzen 9000 และเตรียมเปิดตัว Zen 6 ภายในปีหน้า ซึ่งอาจทำให้ Intel ต้องเร่งสร้างความน่าสนใจให้กับ Arrow Lake รุ่นรีเฟรชให้มากขึ้น

    Arrow Lake Refresh จะยังคงใช้แพลตฟอร์ม LGA1851 เดิม และคาดว่าจะอยู่ในตระกูล Core Ultra Series 2 โดยมีการปรับปรุงด้าน binning และความเร็ว boost clock แต่ยังไม่เปลี่ยนแปลงจำนวนคอร์หลักมากนัก ส่วน Nova Lake จะใช้ซ็อกเก็ตใหม่ LGA1954 และเป็น Core Ultra Series 4 ที่มาพร้อมสถาปัตยกรรมใหม่ทั้งหมด เช่น Coyote Cove P-core และ Arctic Wolf E-core รวมถึงการรองรับการประมวลผลแบบ multi-tile

    แม้จะมีข่าวลือว่า Nova Lake จะใช้เทคโนโลยีการผลิตระดับ 2nm จาก TSMC แต่ Intel ก็ยังคงใช้ 18A node สำหรับบางรุ่น และเตรียมเปิดตัวเวอร์ชันโมบายในปี 2027 ตามหลังรุ่นเดสก์ท็อป

    แผนการเปิดตัวซีพียูของ Intel
    Arrow Lake Refresh เปิดตัวครึ่งแรกของปี 2026
    Nova Lake เปิดตัวปลายปี 2026 และต่อเนื่องถึงต้นปี 2027
    Arrow Lake ใช้แพลตฟอร์ม LGA1851 เดิม
    Nova Lake ใช้ซ็อกเก็ตใหม่ LGA1954 และสถาปัตยกรรมใหม่ทั้งหมด

    รายละเอียดทางเทคนิค
    Arrow Lake Refresh ปรับปรุง binning และ boost clock
    Nova Lake ใช้ Coyote Cove P-core และ Arctic Wolf E-core
    รองรับ multi-tile และกราฟิก Xe3 “Celestial”
    คาดว่าจะมีรุ่นสูงสุดถึง 52 คอร์ในเวอร์ชันเดสก์ท็อป

    สถานการณ์การแข่งขันกับ AMD
    AMD Ryzen 9000 ยังคงครองตำแหน่งผู้นำด้านเกม
    Zen 6 คาดว่าจะเปิดตัวก่อนสิ้นปี 2026
    AMD อาจเปิดตัวเวอร์ชันโมบายในปี 2027
    Intel ต้องเร่งสร้างความน่าสนใจให้กับ Arrow Lake Refresh

    ข้อมูลเสริมจากภายนอก
    Panther Lake จะเปิดตัวก่อน Arrow Lake Refresh สำหรับตลาดโน้ตบุ๊ก
    Nova Lake จะเป็นซีพียูรุ่นแรกของ Intel ที่ใช้ multi-compute die
    Intel อาจใช้ 14A node สำหรับลูกค้าภายนอกในอนาคต
    Core Ultra Series 3 จะใช้กับ Panther Lake และ Series 4 กับ Nova Lake

    https://www.tomshardware.com/pc-components/cpus/intel-confirms-arrow-lake-refresh-set-for-2026-nova-lake-later-that-year-company-admits-there-are-holes-to-fill-on-the-desktop-front-says-it-is-confident-in-the-roadmap
    🧩 “Intel ยืนยันรีเฟรช Arrow Lake ปี 2026 ก่อนเปิดตัว Nova Lake ปลายปี — ยอมรับมีช่องโหว่ในตลาดเดสก์ท็อป แต่มั่นใจแผนระยะยาว” ในงานประชุมเทคโนโลยีของ Goldman Sachs ล่าสุด Intel ได้ออกมายืนยันแผนการเปิดตัวซีพียูรุ่นใหม่ โดยจะเริ่มจากการรีเฟรช Arrow Lake ในช่วงครึ่งแรกของปี 2026 ก่อนจะเปิดตัว Nova Lake ซึ่งเป็นสถาปัตยกรรมใหม่อย่างแท้จริงในช่วงปลายปีเดียวกัน และอาจลากยาวไปถึงต้นปี 2027 John Pitzer รองประธานฝ่ายนักลงทุนสัมพันธ์ของ Intel ยอมรับว่า “มีช่องโหว่ที่ต้องเติมเต็มในตลาดเดสก์ท็อป” โดยเฉพาะเมื่อ AMD ยังคงครองตำแหน่งผู้นำด้านประสิทธิภาพการเล่นเกมด้วย Ryzen 9000 และเตรียมเปิดตัว Zen 6 ภายในปีหน้า ซึ่งอาจทำให้ Intel ต้องเร่งสร้างความน่าสนใจให้กับ Arrow Lake รุ่นรีเฟรชให้มากขึ้น Arrow Lake Refresh จะยังคงใช้แพลตฟอร์ม LGA1851 เดิม และคาดว่าจะอยู่ในตระกูล Core Ultra Series 2 โดยมีการปรับปรุงด้าน binning และความเร็ว boost clock แต่ยังไม่เปลี่ยนแปลงจำนวนคอร์หลักมากนัก ส่วน Nova Lake จะใช้ซ็อกเก็ตใหม่ LGA1954 และเป็น Core Ultra Series 4 ที่มาพร้อมสถาปัตยกรรมใหม่ทั้งหมด เช่น Coyote Cove P-core และ Arctic Wolf E-core รวมถึงการรองรับการประมวลผลแบบ multi-tile แม้จะมีข่าวลือว่า Nova Lake จะใช้เทคโนโลยีการผลิตระดับ 2nm จาก TSMC แต่ Intel ก็ยังคงใช้ 18A node สำหรับบางรุ่น และเตรียมเปิดตัวเวอร์ชันโมบายในปี 2027 ตามหลังรุ่นเดสก์ท็อป ✅ แผนการเปิดตัวซีพียูของ Intel ➡️ Arrow Lake Refresh เปิดตัวครึ่งแรกของปี 2026 ➡️ Nova Lake เปิดตัวปลายปี 2026 และต่อเนื่องถึงต้นปี 2027 ➡️ Arrow Lake ใช้แพลตฟอร์ม LGA1851 เดิม ➡️ Nova Lake ใช้ซ็อกเก็ตใหม่ LGA1954 และสถาปัตยกรรมใหม่ทั้งหมด ✅ รายละเอียดทางเทคนิค ➡️ Arrow Lake Refresh ปรับปรุง binning และ boost clock ➡️ Nova Lake ใช้ Coyote Cove P-core และ Arctic Wolf E-core ➡️ รองรับ multi-tile และกราฟิก Xe3 “Celestial” ➡️ คาดว่าจะมีรุ่นสูงสุดถึง 52 คอร์ในเวอร์ชันเดสก์ท็อป ✅ สถานการณ์การแข่งขันกับ AMD ➡️ AMD Ryzen 9000 ยังคงครองตำแหน่งผู้นำด้านเกม ➡️ Zen 6 คาดว่าจะเปิดตัวก่อนสิ้นปี 2026 ➡️ AMD อาจเปิดตัวเวอร์ชันโมบายในปี 2027 ➡️ Intel ต้องเร่งสร้างความน่าสนใจให้กับ Arrow Lake Refresh ✅ ข้อมูลเสริมจากภายนอก ➡️ Panther Lake จะเปิดตัวก่อน Arrow Lake Refresh สำหรับตลาดโน้ตบุ๊ก ➡️ Nova Lake จะเป็นซีพียูรุ่นแรกของ Intel ที่ใช้ multi-compute die ➡️ Intel อาจใช้ 14A node สำหรับลูกค้าภายนอกในอนาคต ➡️ Core Ultra Series 3 จะใช้กับ Panther Lake และ Series 4 กับ Nova Lake https://www.tomshardware.com/pc-components/cpus/intel-confirms-arrow-lake-refresh-set-for-2026-nova-lake-later-that-year-company-admits-there-are-holes-to-fill-on-the-desktop-front-says-it-is-confident-in-the-roadmap
    0 Comments 0 Shares 269 Views 0 Reviews
More Results