• “SMIC ทดสอบเครื่อง DUV ฝีมือจีนครั้งแรก — ความหวังใหม่สู่การผลิตชิป 5nm โดยไม่ต้องพึ่ง ASML”

    ในช่วงกลางเดือนกันยายน 2025 มีรายงานจาก Financial Times ว่า SMIC (Semiconductor Manufacturing International Corporation) ผู้ผลิตชิปรายใหญ่ของจีน กำลังทดสอบเครื่อง lithography แบบ DUV (Deep Ultraviolet) ที่ผลิตโดยบริษัทสตาร์ทอัพในประเทศชื่อ Yuliangsheng ซึ่งตั้งอยู่ในเซี่ยงไฮ้ นี่ถือเป็นครั้งแรกที่จีนสามารถผลิตเครื่อง DUV ได้เอง และอาจเป็นจุดเริ่มต้นของการพึ่งพาตนเองด้านอุปกรณ์ผลิตชิปขั้นสูง

    เดิมที SMIC ต้องพึ่งพาเครื่องจักรจาก ASML บริษัทเนเธอร์แลนด์ที่เป็นผู้นำด้านเทคโนโลยี lithography แต่เนื่องจากข้อจำกัดด้านการส่งออกจากสหรัฐฯ ทำให้จีนไม่สามารถเข้าถึงเครื่อง EUV (Extreme Ultraviolet) ได้ ซึ่งจำเป็นสำหรับการผลิตชิประดับ 5nm และต่ำกว่า

    แม้เครื่อง DUV ของ Yuliangsheng จะยังไม่สามารถเทียบเท่า EUV ได้ แต่มีการระบุว่าสามารถ “scale” การผลิตได้ถึงระดับ 5nm ด้วยเทคนิคการทำ pattern ซ้ำหลายชั้น (multi-patterning) อย่างไรก็ตาม วิธีนี้มีความเสี่ยงด้าน yield หรืออัตราการผลิตชิปที่ใช้งานได้จริง เนื่องจากการจัดตำแหน่งหลายชั้นอาจเกิดข้อผิดพลาดสะสม

    SMIC เคยใช้วิธีนี้ในการผลิตชิป 7nm มาก่อน และยอมรับ yield ที่ต่ำเพื่อให้สามารถผลิตได้ในปริมาณมาก ซึ่งอาจเป็นแนวทางเดียวกันสำหรับการผลิต 5nm ด้วยเครื่อง DUV ภายในประเทศ โดยเฉพาะเมื่อความต้องการชิป AI ในจีนเพิ่มขึ้นอย่างรวดเร็ว

    การพัฒนาเครื่อง DUV นี้ยังมีความท้าทาย เพราะแม้ส่วนใหญ่ของชิ้นส่วนจะผลิตในประเทศ แต่บางส่วนยังต้องนำเข้าจากต่างประเทศ อย่างไรก็ตาม Yuliangsheng กำลังพยายามพัฒนาให้ทุกชิ้นส่วนสามารถผลิตในจีนได้ในอนาคต

    ข้อมูลสำคัญจากข่าว
    SMIC กำลังทดสอบเครื่อง DUV ที่ผลิตโดยบริษัทจีน Yuliangsheng
    เป็นครั้งแรกที่จีนสามารถผลิตเครื่อง lithography แบบ DUV ได้เอง
    เครื่องนี้อาจสามารถ scale การผลิตชิปได้ถึงระดับ 5nm ด้วยเทคนิค multi-patterning
    SMIC เคยใช้วิธีนี้ในการผลิตชิป 7nm โดยยอมรับ yield ต่ำเพื่อให้ผลิตได้

    ความเคลื่อนไหวในอุตสาหกรรม
    ความต้องการชิป AI ในจีนเพิ่มขึ้นอย่างรวดเร็ว ทำให้ต้องเร่งพัฒนาอุปกรณ์ภายในประเทศ
    SMIC ไม่สามารถเข้าถึงเครื่อง EUV จาก ASML เนื่องจากข้อจำกัดด้านการส่งออก
    เครื่อง DUV ของ Yuliangsheng ใช้เทคโนโลยี immersion คล้ายกับของ ASML
    ส่วนประกอบบางส่วนยังนำเข้าจากต่างประเทศ แต่มีแผนพัฒนาให้ผลิตในจีนทั้งหมด

    ข้อมูลเสริมจากภายนอก
    SMIC เคยผลิตชิป 5nm โดยใช้เทคนิค SAQP (Self-Aligned Quadruple Patterning) บนเครื่อง DUV
    Huawei ใช้ชิปจาก SMIC ใน Ascend 920 ที่ผลิตบนกระบวนการ 6nm และให้ประสิทธิภาพสูงถึง 900 TFLOPS1
    บริษัทจีนอย่าง AMEC และ NAURA เริ่มแข่งขันกับ Lam Research และ TEL ในด้านอุปกรณ์ประกอบการผลิตชิป
    จีนตั้งเป้าเป็นผู้ผลิตชิปอันดับหนึ่งของโลกภายในปี 2030 โดยเน้นการพึ่งพาตนเองในทุกขั้นตอน

    https://wccftech.com/china-smic-reportedly-testing-nations-first-self-built-duv-machine/
    🔬 “SMIC ทดสอบเครื่อง DUV ฝีมือจีนครั้งแรก — ความหวังใหม่สู่การผลิตชิป 5nm โดยไม่ต้องพึ่ง ASML” ในช่วงกลางเดือนกันยายน 2025 มีรายงานจาก Financial Times ว่า SMIC (Semiconductor Manufacturing International Corporation) ผู้ผลิตชิปรายใหญ่ของจีน กำลังทดสอบเครื่อง lithography แบบ DUV (Deep Ultraviolet) ที่ผลิตโดยบริษัทสตาร์ทอัพในประเทศชื่อ Yuliangsheng ซึ่งตั้งอยู่ในเซี่ยงไฮ้ นี่ถือเป็นครั้งแรกที่จีนสามารถผลิตเครื่อง DUV ได้เอง และอาจเป็นจุดเริ่มต้นของการพึ่งพาตนเองด้านอุปกรณ์ผลิตชิปขั้นสูง เดิมที SMIC ต้องพึ่งพาเครื่องจักรจาก ASML บริษัทเนเธอร์แลนด์ที่เป็นผู้นำด้านเทคโนโลยี lithography แต่เนื่องจากข้อจำกัดด้านการส่งออกจากสหรัฐฯ ทำให้จีนไม่สามารถเข้าถึงเครื่อง EUV (Extreme Ultraviolet) ได้ ซึ่งจำเป็นสำหรับการผลิตชิประดับ 5nm และต่ำกว่า แม้เครื่อง DUV ของ Yuliangsheng จะยังไม่สามารถเทียบเท่า EUV ได้ แต่มีการระบุว่าสามารถ “scale” การผลิตได้ถึงระดับ 5nm ด้วยเทคนิคการทำ pattern ซ้ำหลายชั้น (multi-patterning) อย่างไรก็ตาม วิธีนี้มีความเสี่ยงด้าน yield หรืออัตราการผลิตชิปที่ใช้งานได้จริง เนื่องจากการจัดตำแหน่งหลายชั้นอาจเกิดข้อผิดพลาดสะสม SMIC เคยใช้วิธีนี้ในการผลิตชิป 7nm มาก่อน และยอมรับ yield ที่ต่ำเพื่อให้สามารถผลิตได้ในปริมาณมาก ซึ่งอาจเป็นแนวทางเดียวกันสำหรับการผลิต 5nm ด้วยเครื่อง DUV ภายในประเทศ โดยเฉพาะเมื่อความต้องการชิป AI ในจีนเพิ่มขึ้นอย่างรวดเร็ว การพัฒนาเครื่อง DUV นี้ยังมีความท้าทาย เพราะแม้ส่วนใหญ่ของชิ้นส่วนจะผลิตในประเทศ แต่บางส่วนยังต้องนำเข้าจากต่างประเทศ อย่างไรก็ตาม Yuliangsheng กำลังพยายามพัฒนาให้ทุกชิ้นส่วนสามารถผลิตในจีนได้ในอนาคต ✅ ข้อมูลสำคัญจากข่าว ➡️ SMIC กำลังทดสอบเครื่อง DUV ที่ผลิตโดยบริษัทจีน Yuliangsheng ➡️ เป็นครั้งแรกที่จีนสามารถผลิตเครื่อง lithography แบบ DUV ได้เอง ➡️ เครื่องนี้อาจสามารถ scale การผลิตชิปได้ถึงระดับ 5nm ด้วยเทคนิค multi-patterning ➡️ SMIC เคยใช้วิธีนี้ในการผลิตชิป 7nm โดยยอมรับ yield ต่ำเพื่อให้ผลิตได้ ✅ ความเคลื่อนไหวในอุตสาหกรรม ➡️ ความต้องการชิป AI ในจีนเพิ่มขึ้นอย่างรวดเร็ว ทำให้ต้องเร่งพัฒนาอุปกรณ์ภายในประเทศ ➡️ SMIC ไม่สามารถเข้าถึงเครื่อง EUV จาก ASML เนื่องจากข้อจำกัดด้านการส่งออก ➡️ เครื่อง DUV ของ Yuliangsheng ใช้เทคโนโลยี immersion คล้ายกับของ ASML ➡️ ส่วนประกอบบางส่วนยังนำเข้าจากต่างประเทศ แต่มีแผนพัฒนาให้ผลิตในจีนทั้งหมด ✅ ข้อมูลเสริมจากภายนอก ➡️ SMIC เคยผลิตชิป 5nm โดยใช้เทคนิค SAQP (Self-Aligned Quadruple Patterning) บนเครื่อง DUV ➡️ Huawei ใช้ชิปจาก SMIC ใน Ascend 920 ที่ผลิตบนกระบวนการ 6nm และให้ประสิทธิภาพสูงถึง 900 TFLOPS1 ➡️ บริษัทจีนอย่าง AMEC และ NAURA เริ่มแข่งขันกับ Lam Research และ TEL ในด้านอุปกรณ์ประกอบการผลิตชิป ➡️ จีนตั้งเป้าเป็นผู้ผลิตชิปอันดับหนึ่งของโลกภายในปี 2030 โดยเน้นการพึ่งพาตนเองในทุกขั้นตอน https://wccftech.com/china-smic-reportedly-testing-nations-first-self-built-duv-machine/
    WCCFTECH.COM
    China's SMIC Reportedly Testing Nation's First Self-Built DUV Machine in a Major Breakthrough That Could Scale Production to 5nm
    China's chip segment might have witnessed another breakthrough, as a new report claims that SMIC is trialing the first in-house DUV machine.
    0 ความคิดเห็น 0 การแบ่งปัน 12 มุมมอง 0 รีวิว
  • “MediaTek ปล่อยชิปเรือธงบนเทคโนโลยี 2nm ของ TSMC — ก้าวแรกสู่ยุคใหม่ของ AI, มือถือ และยานยนต์”

    MediaTek ประกาศความสำเร็จในการ tape-out ชิป SoC รุ่นเรือธงตัวใหม่ที่ใช้เทคโนโลยีการผลิตระดับ 2 นาโนเมตรของ TSMC ซึ่งถือเป็นหนึ่งในบริษัทแรกที่เข้าสู่ยุค 2nm อย่างเป็นทางการ โดยชิปนี้จะเข้าสู่การผลิตจำนวนมากในช่วงปลายปี 2026 และพร้อมวางจำหน่ายในช่วงเวลาเดียวกัน

    เทคโนโลยี 2nm ของ TSMC ใช้โครงสร้างทรานซิสเตอร์แบบ nanosheet เป็นครั้งแรก ซึ่งช่วยเพิ่มความหนาแน่นของลอจิกได้ถึง 1.2 เท่า เพิ่มประสิทธิภาพสูงสุด 18% ที่พลังงานเท่าเดิม และลดการใช้พลังงานลงถึง 36% ที่ความเร็วเท่าเดิม เมื่อเทียบกับกระบวนการ N3E รุ่นก่อนหน้า

    MediaTek ยังไม่เปิดเผยว่าชิปนี้จะใช้ในผลิตภัณฑ์ใดโดยตรง แต่มีการคาดการณ์ว่าอาจเกี่ยวข้องกับความร่วมมือกับ NVIDIA ในกลุ่ม AI PC หรือชิปสำหรับดาต้าเซ็นเตอร์ ซึ่งก่อนหน้านี้ทั้งสองบริษัทเคยร่วมมือกันในโปรเจกต์ GB10 “Grace Blackwell” Superchip ที่ใช้กระบวนการ 3nm

    ชิปใหม่นี้จะถูกนำไปใช้ในหลากหลายกลุ่มผลิตภัณฑ์ เช่น มือถือระดับเรือธง, คอมพิวเตอร์ประสิทธิภาพสูง, ยานยนต์อัจฉริยะ และเซิร์ฟเวอร์ edge computing โดย MediaTek ยืนยันว่าการร่วมมือกับ TSMC จะช่วยให้สามารถส่งมอบโซลูชันที่มีประสิทธิภาพสูงและประหยัดพลังงานได้ทั่วโลก

    ข้อมูลสำคัญจากข่าว
    MediaTek ประกาศ tape-out ชิป SoC รุ่นเรือธงที่ใช้เทคโนโลยี 2nm ของ TSMC
    เข้าสู่การผลิตจำนวนมากปลายปี 2026 และวางจำหน่ายช่วงเวลาเดียวกัน
    ใช้โครงสร้างทรานซิสเตอร์แบบ nanosheet เป็นครั้งแรก
    เพิ่ม logic density 1.2 เท่า, เพิ่ม performance 18%, ลดพลังงาน 36% เทียบกับ N3E

    กลุ่มเป้าหมายและการใช้งาน
    ชิปนี้อาจใช้ในมือถือ, คอมพิวเตอร์, ยานยนต์ และ edge computing
    มีความเป็นไปได้ว่าจะเกี่ยวข้องกับความร่วมมือกับ NVIDIA ในกลุ่ม AI PC
    MediaTek และ TSMC มีความร่วมมือระยะยาวในด้านเทคโนโลยีขั้นสูง
    ชิปนี้จะเป็นตัวแทนของการเปลี่ยนผ่านสู่ยุคใหม่ของการประมวลผลแบบประหยัดพลังงาน

    ข้อมูลเสริมจากภายนอก
    TSMC N2P คือรุ่นพัฒนาต่อจาก N2 ที่เน้นประสิทธิภาพต่อวัตต์
    Apple และ AMD ก็เตรียมใช้เทคโนโลยี 2nm ในชิปของตนในปี 2026 เช่นกัน
    การใช้ nanosheet transistor ช่วยให้สามารถใส่ accelerator และ IP block ได้มากขึ้นในพื้นที่เท่าเดิม
    เหมาะกับงาน on-device AI ที่ต้องการประสิทธิภาพสูงแต่ใช้พลังงานต่ำ

    https://wccftech.com/mediatek-tapes-out-flagship-soc-tsmc-2nm-process-production-availability-end-2026/
    🧠 “MediaTek ปล่อยชิปเรือธงบนเทคโนโลยี 2nm ของ TSMC — ก้าวแรกสู่ยุคใหม่ของ AI, มือถือ และยานยนต์” MediaTek ประกาศความสำเร็จในการ tape-out ชิป SoC รุ่นเรือธงตัวใหม่ที่ใช้เทคโนโลยีการผลิตระดับ 2 นาโนเมตรของ TSMC ซึ่งถือเป็นหนึ่งในบริษัทแรกที่เข้าสู่ยุค 2nm อย่างเป็นทางการ โดยชิปนี้จะเข้าสู่การผลิตจำนวนมากในช่วงปลายปี 2026 และพร้อมวางจำหน่ายในช่วงเวลาเดียวกัน เทคโนโลยี 2nm ของ TSMC ใช้โครงสร้างทรานซิสเตอร์แบบ nanosheet เป็นครั้งแรก ซึ่งช่วยเพิ่มความหนาแน่นของลอจิกได้ถึง 1.2 เท่า เพิ่มประสิทธิภาพสูงสุด 18% ที่พลังงานเท่าเดิม และลดการใช้พลังงานลงถึง 36% ที่ความเร็วเท่าเดิม เมื่อเทียบกับกระบวนการ N3E รุ่นก่อนหน้า MediaTek ยังไม่เปิดเผยว่าชิปนี้จะใช้ในผลิตภัณฑ์ใดโดยตรง แต่มีการคาดการณ์ว่าอาจเกี่ยวข้องกับความร่วมมือกับ NVIDIA ในกลุ่ม AI PC หรือชิปสำหรับดาต้าเซ็นเตอร์ ซึ่งก่อนหน้านี้ทั้งสองบริษัทเคยร่วมมือกันในโปรเจกต์ GB10 “Grace Blackwell” Superchip ที่ใช้กระบวนการ 3nm ชิปใหม่นี้จะถูกนำไปใช้ในหลากหลายกลุ่มผลิตภัณฑ์ เช่น มือถือระดับเรือธง, คอมพิวเตอร์ประสิทธิภาพสูง, ยานยนต์อัจฉริยะ และเซิร์ฟเวอร์ edge computing โดย MediaTek ยืนยันว่าการร่วมมือกับ TSMC จะช่วยให้สามารถส่งมอบโซลูชันที่มีประสิทธิภาพสูงและประหยัดพลังงานได้ทั่วโลก ✅ ข้อมูลสำคัญจากข่าว ➡️ MediaTek ประกาศ tape-out ชิป SoC รุ่นเรือธงที่ใช้เทคโนโลยี 2nm ของ TSMC ➡️ เข้าสู่การผลิตจำนวนมากปลายปี 2026 และวางจำหน่ายช่วงเวลาเดียวกัน ➡️ ใช้โครงสร้างทรานซิสเตอร์แบบ nanosheet เป็นครั้งแรก ➡️ เพิ่ม logic density 1.2 เท่า, เพิ่ม performance 18%, ลดพลังงาน 36% เทียบกับ N3E ✅ กลุ่มเป้าหมายและการใช้งาน ➡️ ชิปนี้อาจใช้ในมือถือ, คอมพิวเตอร์, ยานยนต์ และ edge computing ➡️ มีความเป็นไปได้ว่าจะเกี่ยวข้องกับความร่วมมือกับ NVIDIA ในกลุ่ม AI PC ➡️ MediaTek และ TSMC มีความร่วมมือระยะยาวในด้านเทคโนโลยีขั้นสูง ➡️ ชิปนี้จะเป็นตัวแทนของการเปลี่ยนผ่านสู่ยุคใหม่ของการประมวลผลแบบประหยัดพลังงาน ✅ ข้อมูลเสริมจากภายนอก ➡️ TSMC N2P คือรุ่นพัฒนาต่อจาก N2 ที่เน้นประสิทธิภาพต่อวัตต์ ➡️ Apple และ AMD ก็เตรียมใช้เทคโนโลยี 2nm ในชิปของตนในปี 2026 เช่นกัน ➡️ การใช้ nanosheet transistor ช่วยให้สามารถใส่ accelerator และ IP block ได้มากขึ้นในพื้นที่เท่าเดิม ➡️ เหมาะกับงาน on-device AI ที่ต้องการประสิทธิภาพสูงแต่ใช้พลังงานต่ำ https://wccftech.com/mediatek-tapes-out-flagship-soc-tsmc-2nm-process-production-availability-end-2026/
    WCCFTECH.COM
    MediaTek Tapes Out Flagship SoC Using TSMC's 2nm Process, Mass Production & Availability By End of 2026
    MediaTek has announced the tape-out of its flagship SoC, fabricated on TSMC's 2nm process node, which will be available by the end of 2026.
    0 ความคิดเห็น 0 การแบ่งปัน 13 มุมมอง 0 รีวิว
  • “Ant Group แฉกลยุทธ์ลับของยักษ์ใหญ่สหรัฐฯ — เปิดซอร์สแค่เปลือก เพื่อกักนักพัฒนาไว้ในระบบปิดของ AI”

    ในงาน Inclusion Conference ที่เซี่ยงไฮ้เมื่อกลางเดือนกันยายน 2025 Ant Group บริษัทฟินเทคยักษ์ใหญ่ของจีนได้เปิดเผยรายงานที่วิจารณ์บริษัทเทคโนโลยีสหรัฐฯ เช่น Nvidia, OpenAI และ Google ว่าใช้กลยุทธ์ “เปิดซอร์สแบบหลอก” เพื่อดึงนักพัฒนาเข้าสู่ระบบ AI แบบปิดของตนเอง โดยอ้างว่าแม้จะมีการเปิดซอร์สเครื่องมือบางส่วน แต่แกนหลักของโมเดลและฮาร์ดแวร์ยังคงถูกควบคุมอย่างเข้มงวด

    ตัวอย่างที่ถูกยกขึ้นมาคือ “Dynamo” แพลตฟอร์ม inference ที่ Nvidia เปิดซอร์สในเดือนมีนาคม 2024 ซึ่งถูกโปรโมตว่าเป็น “ระบบปฏิบัติการของ AI” แต่จริง ๆ แล้วถูกออกแบบมาให้ทำงานได้ดีที่สุดกับ GPU ของ Nvidia เท่านั้น ทำให้ผู้พัฒนาแทบไม่มีทางเลือกอื่นหากต้องการประสิทธิภาพสูงสุด

    OpenAI และ Google ก็ถูกกล่าวหาว่าเปิดซอร์สเฟรมเวิร์กสำหรับสร้าง AI agent แต่เฟรมเวิร์กเหล่านั้นถูกออกแบบมาให้ทำงานร่วมกับโมเดลเฉพาะของบริษัทเท่านั้น เช่น GPT หรือ Gemini ซึ่งหมายความว่าผู้พัฒนาจะถูกผูกติดกับระบบของบริษัทเหล่านี้ในระยะยาว

    Ant Group เปรียบเทียบกับแนวทางของบริษัทจีน เช่น Alibaba Cloud และ ByteDance ที่เปิดซอร์สโมเดลหลักให้ดาวน์โหลดและนำไปพัฒนาต่อได้จริง ซึ่งทำให้เกิดการนำไปใช้อย่างแพร่หลาย แม้แต่ในสตาร์ทอัพของสหรัฐฯ เอง

    รายงานยังชี้ให้เห็นถึงการผูกขาดในตลาด โดย Microsoft ครองส่วนแบ่ง 39% ในด้านโมเดลพื้นฐานและแพลตฟอร์มจัดการโมเดล ขณะที่ Nvidia ครองตลาด GPU สำหรับดาต้าเซ็นเตอร์ถึง 92% ซึ่งทำให้การเข้าถึง AI อย่างแท้จริงกลายเป็นเรื่องยากสำหรับผู้เล่นรายเล็ก

    แม้สหรัฐฯ จะมีส่วนร่วมในระบบ open-source AI ถึง 37.4% ของโลก แต่ Ant Group เตือนว่าการเปิดซอร์สเฉพาะ “เครื่องมือรอบนอก” โดยไม่เปิดโมเดลหลัก อาจทำให้เกิดการควบคุมเชิงโครงสร้างที่ลึกกว่าที่เห็น

    ข้อมูลสำคัญจากข่าว
    Ant Group วิจารณ์ Nvidia, OpenAI และ Google ว่าใช้ open-source แบบจำกัด
    Dynamo ของ Nvidia ถูกออกแบบให้ทำงานได้ดีที่สุดกับ GPU ของ Nvidia
    OpenAI และ Google เปิดซอร์สเฟรมเวิร์กที่ผูกติดกับโมเดลเฉพาะของตน
    Alibaba Cloud และ ByteDance เปิดซอร์สโมเดลหลักให้ดาวน์โหลดและพัฒนาต่อได้

    สถานการณ์ตลาดและผลกระทบ
    Microsoft ครองตลาดโมเดลพื้นฐานและแพลตฟอร์มจัดการโมเดล 39%
    Nvidia ครองตลาด GPU ดาต้าเซ็นเตอร์ถึง 92%
    สหรัฐฯ มีส่วนร่วมในระบบ open-source AI 37.4% ของโลก
    จีนมีส่วนร่วม 18.7% และเน้นการเปิดซอร์สโมเดลมากกว่าเครื่องมือ

    ข้อมูลเสริมจากภายนอก
    AI agent คือระบบที่ทำงานอัตโนมัติแทนผู้ใช้ โดยใช้โมเดลพื้นฐานเป็นแกน
    การเปิดซอร์สโมเดลช่วยให้เกิดความโปร่งใสและการทดลองในวงกว้าง
    การเปิดซอร์สเฉพาะเครื่องมืออาจทำให้เกิดการผูกขาดเชิงเทคโนโลยี
    สตาร์ทอัพในสหรัฐฯ เริ่มหันมาใช้โมเดลจีนที่เปิดซอร์สเพื่อหลีกเลี่ยงข้อจำกัด

    https://www.techradar.com/pro/top-us-tech-companies-are-holding-developers-in-closed-source-ai-ecosystems-ant-group-says
    🔒 “Ant Group แฉกลยุทธ์ลับของยักษ์ใหญ่สหรัฐฯ — เปิดซอร์สแค่เปลือก เพื่อกักนักพัฒนาไว้ในระบบปิดของ AI” ในงาน Inclusion Conference ที่เซี่ยงไฮ้เมื่อกลางเดือนกันยายน 2025 Ant Group บริษัทฟินเทคยักษ์ใหญ่ของจีนได้เปิดเผยรายงานที่วิจารณ์บริษัทเทคโนโลยีสหรัฐฯ เช่น Nvidia, OpenAI และ Google ว่าใช้กลยุทธ์ “เปิดซอร์สแบบหลอก” เพื่อดึงนักพัฒนาเข้าสู่ระบบ AI แบบปิดของตนเอง โดยอ้างว่าแม้จะมีการเปิดซอร์สเครื่องมือบางส่วน แต่แกนหลักของโมเดลและฮาร์ดแวร์ยังคงถูกควบคุมอย่างเข้มงวด ตัวอย่างที่ถูกยกขึ้นมาคือ “Dynamo” แพลตฟอร์ม inference ที่ Nvidia เปิดซอร์สในเดือนมีนาคม 2024 ซึ่งถูกโปรโมตว่าเป็น “ระบบปฏิบัติการของ AI” แต่จริง ๆ แล้วถูกออกแบบมาให้ทำงานได้ดีที่สุดกับ GPU ของ Nvidia เท่านั้น ทำให้ผู้พัฒนาแทบไม่มีทางเลือกอื่นหากต้องการประสิทธิภาพสูงสุด OpenAI และ Google ก็ถูกกล่าวหาว่าเปิดซอร์สเฟรมเวิร์กสำหรับสร้าง AI agent แต่เฟรมเวิร์กเหล่านั้นถูกออกแบบมาให้ทำงานร่วมกับโมเดลเฉพาะของบริษัทเท่านั้น เช่น GPT หรือ Gemini ซึ่งหมายความว่าผู้พัฒนาจะถูกผูกติดกับระบบของบริษัทเหล่านี้ในระยะยาว Ant Group เปรียบเทียบกับแนวทางของบริษัทจีน เช่น Alibaba Cloud และ ByteDance ที่เปิดซอร์สโมเดลหลักให้ดาวน์โหลดและนำไปพัฒนาต่อได้จริง ซึ่งทำให้เกิดการนำไปใช้อย่างแพร่หลาย แม้แต่ในสตาร์ทอัพของสหรัฐฯ เอง รายงานยังชี้ให้เห็นถึงการผูกขาดในตลาด โดย Microsoft ครองส่วนแบ่ง 39% ในด้านโมเดลพื้นฐานและแพลตฟอร์มจัดการโมเดล ขณะที่ Nvidia ครองตลาด GPU สำหรับดาต้าเซ็นเตอร์ถึง 92% ซึ่งทำให้การเข้าถึง AI อย่างแท้จริงกลายเป็นเรื่องยากสำหรับผู้เล่นรายเล็ก แม้สหรัฐฯ จะมีส่วนร่วมในระบบ open-source AI ถึง 37.4% ของโลก แต่ Ant Group เตือนว่าการเปิดซอร์สเฉพาะ “เครื่องมือรอบนอก” โดยไม่เปิดโมเดลหลัก อาจทำให้เกิดการควบคุมเชิงโครงสร้างที่ลึกกว่าที่เห็น ✅ ข้อมูลสำคัญจากข่าว ➡️ Ant Group วิจารณ์ Nvidia, OpenAI และ Google ว่าใช้ open-source แบบจำกัด ➡️ Dynamo ของ Nvidia ถูกออกแบบให้ทำงานได้ดีที่สุดกับ GPU ของ Nvidia ➡️ OpenAI และ Google เปิดซอร์สเฟรมเวิร์กที่ผูกติดกับโมเดลเฉพาะของตน ➡️ Alibaba Cloud และ ByteDance เปิดซอร์สโมเดลหลักให้ดาวน์โหลดและพัฒนาต่อได้ ✅ สถานการณ์ตลาดและผลกระทบ ➡️ Microsoft ครองตลาดโมเดลพื้นฐานและแพลตฟอร์มจัดการโมเดล 39% ➡️ Nvidia ครองตลาด GPU ดาต้าเซ็นเตอร์ถึง 92% ➡️ สหรัฐฯ มีส่วนร่วมในระบบ open-source AI 37.4% ของโลก ➡️ จีนมีส่วนร่วม 18.7% และเน้นการเปิดซอร์สโมเดลมากกว่าเครื่องมือ ✅ ข้อมูลเสริมจากภายนอก ➡️ AI agent คือระบบที่ทำงานอัตโนมัติแทนผู้ใช้ โดยใช้โมเดลพื้นฐานเป็นแกน ➡️ การเปิดซอร์สโมเดลช่วยให้เกิดความโปร่งใสและการทดลองในวงกว้าง ➡️ การเปิดซอร์สเฉพาะเครื่องมืออาจทำให้เกิดการผูกขาดเชิงเทคโนโลยี ➡️ สตาร์ทอัพในสหรัฐฯ เริ่มหันมาใช้โมเดลจีนที่เปิดซอร์สเพื่อหลีกเลี่ยงข้อจำกัด https://www.techradar.com/pro/top-us-tech-companies-are-holding-developers-in-closed-source-ai-ecosystems-ant-group-says
    0 ความคิดเห็น 0 การแบ่งปัน 11 มุมมอง 0 รีวิว
  • “Phoenix Rowhammer โจมตีทะลุ ECC — ช่องโหว่ใหม่ใน DDR5 จาก SK Hynix ที่ใช้เวลาแค่ 109 วินาที!”

    ทีมนักวิจัยจาก ETH Zurich และ Google ได้เปิดเผยช่องโหว่ใหม่ในหน่วยความจำ DDR5 ของ SK Hynix ที่สามารถถูกโจมตีด้วยเทคนิค Rowhammer รุ่นใหม่ชื่อว่า “Phoenix” (CVE-2025-6202) ซึ่งสามารถทะลุการป้องกันแบบ ECC ที่ฝังอยู่ในชิปได้ภายในเวลาเพียง 109 วินาที ถือเป็นการโจมตีระดับ privilege escalation ที่เกิดขึ้นได้จริงบนเครื่องเดสก์ท็อปทั่วไป

    Phoenix ใช้เทคนิค reverse engineering เพื่อเจาะระบบป้องกัน TRR (Target Row Refresh) ที่มีอยู่ใน DRAM โดยพบว่าการรีเฟรชแถวหน่วยความจำมีช่วง sampling ที่ซ้ำทุก 128 tREFI และในช่วงต้นของแต่ละรอบมีการสุ่มตรวจสอบน้อย ทำให้สามารถออกแบบรูปแบบการโจมตีแบบใหม่ที่เรียกว่า 128-tREFI และ 2608-tREFI ซึ่งมีประสิทธิภาพสูงกว่ารุ่นก่อนถึง 2.6 เท่า

    นักวิจัยยังพัฒนาเทคนิค “self-correcting refresh synchronization” ที่ช่วยให้การโจมตีสามารถปรับตัวได้เมื่อเกิดการรีเฟรชผิดพลาด ทำให้สามารถรักษาความแม่นยำในการโจมตีได้แม้จะผ่านหลายพันรอบการรีเฟรช

    จากการทดสอบบนโมดูล DDR5 ของ SK Hynix จำนวน 15 รุ่นที่ผลิตระหว่างปี 2021–2024 พบว่าทุกตัวสามารถถูกโจมตีได้ โดยเกิดการเปลี่ยนแปลงบิตจำนวนมาก ซึ่งสามารถนำไปใช้สร้าง primitive สำหรับการอ่าน/เขียนข้อมูลโดยพลการ, ขโมยคีย์ RSA-2048 จาก VM ที่อยู่ใกล้กัน และยกระดับสิทธิ์โดยการแก้ไขไฟล์ sudo

    เพื่อเพิ่มโอกาสในการโจมตี นักวิจัยใช้เทคนิคการรัน pattern แบบเลื่อนตำแหน่งในแต่ละ bank พร้อมกัน ทำให้โอกาสโจมตีสำเร็จเพิ่มขึ้นจาก 1.56% เป็น 25% และเสนอวิธีแก้เบื้องต้นด้วยการเพิ่มอัตราการรีเฟรช DRAM 3 เท่า ซึ่งช่วยลดการโจมตีได้ แต่แลกกับประสิทธิภาพที่ลดลงถึง 8.4% บน SPEC CPU2017

    ข่าวดีคือทีมงานได้แจ้งช่องโหว่นี้อย่างเป็นทางการกับ SK Hynix, ผู้ผลิต CPU และผู้ให้บริการคลาวด์ ทำให้มีการออก BIOS patch สำหรับเครื่อง AMD บางรุ่นแล้ว และมีโค้ดทดสอบบน GitHub เพื่อให้ผู้ดูแลระบบสามารถตรวจสอบ DIMM ของตนเองได้

    ข้อมูลสำคัญจากข่าว
    ช่องโหว่ “Phoenix” (CVE-2025-6202) เป็น Rowhammer รุ่นใหม่ที่ทะลุ ECC ได้
    ใช้เวลาโจมตีเพียง 109 วินาทีบนเครื่องเดสก์ท็อปทั่วไป
    เทคนิคใหม่ใช้ pattern 128-tREFI และ 2608-tREFI พร้อมระบบ sync อัตโนมัติ
    ทดสอบบน DDR5 ของ SK Hynix 15 รุ่น พบว่าทุกตัวมี bit flip

    ผลกระทบและการโจมตี
    เกิดการเปลี่ยนบิตจำนวนมากในหน่วยความจำ
    สร้าง primitive สำหรับการอ่าน/เขียนข้อมูล, ขโมยคีย์ RSA, และยกระดับสิทธิ์
    เพิ่มโอกาสโจมตีจาก 1.56% เป็น 25% ด้วยการรัน pattern แบบเลื่อนตำแหน่ง
    BIOS patch สำหรับ AMD ถูกปล่อยออกมาแล้วในช่วง embargo

    ข้อมูลเสริมจากภายนอก
    Rowhammer เป็นช่องโหว่ที่พบครั้งแรกในปี 2014 และยังคงพัฒนาอย่างต่อเนื่อง
    ECC แบบ on-die ไม่สามารถป้องกัน Rowhammer ได้เท่ากับ ECC แบบ side-band
    การเพิ่ม refresh rate เป็นวิธีแก้ชั่วคราวที่มีต้นทุนด้านประสิทธิภาพ
    โค้ดทดสอบ Phoenix ถูกเผยแพร่บน GitHub เพื่อใช้ตรวจสอบ DIMM

    คำเตือนและข้อจำกัด
    ECC แบบฝังในชิป (on-die ECC) ไม่สามารถป้องกัน Phoenix ได้
    การเพิ่ม refresh rate 3 เท่าทำให้ประสิทธิภาพลดลงถึง 8.4%
    BIOS patch ยังไม่ครอบคลุมทุกระบบ และต้องอัปเดตด้วยตนเอง
    DIMM ที่ผลิตระหว่างปี 2021–2024 มีความเสี่ยงสูง
    โค้ดบน GitHub ใช้สำหรับการตรวจสอบเท่านั้น ไม่ใช่การป้องกันหรือโจมตี

    https://www.techpowerup.com/341059/sk-hynix-ddr5-dimms-vulnerable-to-phoenix-rowhammer-attack-ecc-dimms-exposed-too
    💥 “Phoenix Rowhammer โจมตีทะลุ ECC — ช่องโหว่ใหม่ใน DDR5 จาก SK Hynix ที่ใช้เวลาแค่ 109 วินาที!” ทีมนักวิจัยจาก ETH Zurich และ Google ได้เปิดเผยช่องโหว่ใหม่ในหน่วยความจำ DDR5 ของ SK Hynix ที่สามารถถูกโจมตีด้วยเทคนิค Rowhammer รุ่นใหม่ชื่อว่า “Phoenix” (CVE-2025-6202) ซึ่งสามารถทะลุการป้องกันแบบ ECC ที่ฝังอยู่ในชิปได้ภายในเวลาเพียง 109 วินาที ถือเป็นการโจมตีระดับ privilege escalation ที่เกิดขึ้นได้จริงบนเครื่องเดสก์ท็อปทั่วไป Phoenix ใช้เทคนิค reverse engineering เพื่อเจาะระบบป้องกัน TRR (Target Row Refresh) ที่มีอยู่ใน DRAM โดยพบว่าการรีเฟรชแถวหน่วยความจำมีช่วง sampling ที่ซ้ำทุก 128 tREFI และในช่วงต้นของแต่ละรอบมีการสุ่มตรวจสอบน้อย ทำให้สามารถออกแบบรูปแบบการโจมตีแบบใหม่ที่เรียกว่า 128-tREFI และ 2608-tREFI ซึ่งมีประสิทธิภาพสูงกว่ารุ่นก่อนถึง 2.6 เท่า นักวิจัยยังพัฒนาเทคนิค “self-correcting refresh synchronization” ที่ช่วยให้การโจมตีสามารถปรับตัวได้เมื่อเกิดการรีเฟรชผิดพลาด ทำให้สามารถรักษาความแม่นยำในการโจมตีได้แม้จะผ่านหลายพันรอบการรีเฟรช จากการทดสอบบนโมดูล DDR5 ของ SK Hynix จำนวน 15 รุ่นที่ผลิตระหว่างปี 2021–2024 พบว่าทุกตัวสามารถถูกโจมตีได้ โดยเกิดการเปลี่ยนแปลงบิตจำนวนมาก ซึ่งสามารถนำไปใช้สร้าง primitive สำหรับการอ่าน/เขียนข้อมูลโดยพลการ, ขโมยคีย์ RSA-2048 จาก VM ที่อยู่ใกล้กัน และยกระดับสิทธิ์โดยการแก้ไขไฟล์ sudo เพื่อเพิ่มโอกาสในการโจมตี นักวิจัยใช้เทคนิคการรัน pattern แบบเลื่อนตำแหน่งในแต่ละ bank พร้อมกัน ทำให้โอกาสโจมตีสำเร็จเพิ่มขึ้นจาก 1.56% เป็น 25% และเสนอวิธีแก้เบื้องต้นด้วยการเพิ่มอัตราการรีเฟรช DRAM 3 เท่า ซึ่งช่วยลดการโจมตีได้ แต่แลกกับประสิทธิภาพที่ลดลงถึง 8.4% บน SPEC CPU2017 ข่าวดีคือทีมงานได้แจ้งช่องโหว่นี้อย่างเป็นทางการกับ SK Hynix, ผู้ผลิต CPU และผู้ให้บริการคลาวด์ ทำให้มีการออก BIOS patch สำหรับเครื่อง AMD บางรุ่นแล้ว และมีโค้ดทดสอบบน GitHub เพื่อให้ผู้ดูแลระบบสามารถตรวจสอบ DIMM ของตนเองได้ ✅ ข้อมูลสำคัญจากข่าว ➡️ ช่องโหว่ “Phoenix” (CVE-2025-6202) เป็น Rowhammer รุ่นใหม่ที่ทะลุ ECC ได้ ➡️ ใช้เวลาโจมตีเพียง 109 วินาทีบนเครื่องเดสก์ท็อปทั่วไป ➡️ เทคนิคใหม่ใช้ pattern 128-tREFI และ 2608-tREFI พร้อมระบบ sync อัตโนมัติ ➡️ ทดสอบบน DDR5 ของ SK Hynix 15 รุ่น พบว่าทุกตัวมี bit flip ✅ ผลกระทบและการโจมตี ➡️ เกิดการเปลี่ยนบิตจำนวนมากในหน่วยความจำ ➡️ สร้าง primitive สำหรับการอ่าน/เขียนข้อมูล, ขโมยคีย์ RSA, และยกระดับสิทธิ์ ➡️ เพิ่มโอกาสโจมตีจาก 1.56% เป็น 25% ด้วยการรัน pattern แบบเลื่อนตำแหน่ง ➡️ BIOS patch สำหรับ AMD ถูกปล่อยออกมาแล้วในช่วง embargo ✅ ข้อมูลเสริมจากภายนอก ➡️ Rowhammer เป็นช่องโหว่ที่พบครั้งแรกในปี 2014 และยังคงพัฒนาอย่างต่อเนื่อง ➡️ ECC แบบ on-die ไม่สามารถป้องกัน Rowhammer ได้เท่ากับ ECC แบบ side-band ➡️ การเพิ่ม refresh rate เป็นวิธีแก้ชั่วคราวที่มีต้นทุนด้านประสิทธิภาพ ➡️ โค้ดทดสอบ Phoenix ถูกเผยแพร่บน GitHub เพื่อใช้ตรวจสอบ DIMM ‼️ คำเตือนและข้อจำกัด ⛔ ECC แบบฝังในชิป (on-die ECC) ไม่สามารถป้องกัน Phoenix ได้ ⛔ การเพิ่ม refresh rate 3 เท่าทำให้ประสิทธิภาพลดลงถึง 8.4% ⛔ BIOS patch ยังไม่ครอบคลุมทุกระบบ และต้องอัปเดตด้วยตนเอง ⛔ DIMM ที่ผลิตระหว่างปี 2021–2024 มีความเสี่ยงสูง ⛔ โค้ดบน GitHub ใช้สำหรับการตรวจสอบเท่านั้น ไม่ใช่การป้องกันหรือโจมตี https://www.techpowerup.com/341059/sk-hynix-ddr5-dimms-vulnerable-to-phoenix-rowhammer-attack-ecc-dimms-exposed-too
    WWW.TECHPOWERUP.COM
    SK Hynix DDR5 DIMMs Vulnerable to "Phoenix" Rowhammer Attack, ECC DIMMs Exposed Too
    Researchers from ETH Zurich and Google have shown that SK Hynix DDR5 modules remain vulnerable to a new Rowhammer variant they call Phoenix (CVE-2025-6202), even with on-die ECC memory modules. The attack can be executed in only 109 seconds, making it a very realistic threat. By reverse engineering ...
    0 ความคิดเห็น 0 การแบ่งปัน 14 มุมมอง 0 รีวิว
  • “Loongson 9A1000: ก้าวแรกของ GPU จีนสู่ความเป็นอิสระ — ประสิทธิภาพระดับ RX 550 พร้อมพลัง AI 40 TOPS”

    หลังจากพัฒนาอย่างเงียบ ๆ มาตั้งแต่ปี 2023 บริษัท Loongson Technology ได้ประกาศความคืบหน้าครั้งสำคัญในเดือนกันยายน 2025 กับ GPU รุ่นแรกของบริษัทชื่อว่า “Loongson 9A1000” ซึ่งเตรียมเข้าสู่กระบวนการ tapeout หรือการส่งแบบไปผลิตจริงในไตรมาสที่ 3 ของปีนี้ ถือเป็นการเปิดตัวอย่างเป็นทางการของ Loongson ในตลาดกราฟิกการ์ด หลังจากที่เคยเน้นเฉพาะด้านซีพียูมาก่อน

    9A1000 ถูกวางตำแหน่งเป็นกราฟิกการ์ดระดับเริ่มต้น โดยมีประสิทธิภาพใกล้เคียงกับ AMD Radeon RX 550 ซึ่งเปิดตัวมาตั้งแต่ปี 2017 แต่สิ่งที่น่าสนใจคือการรองรับการเร่งความเร็วด้าน AI ด้วยพลังประมวลผลสูงถึง 40 TOPS ซึ่งใกล้เคียงกับ NPU รุ่นใหม่ของ AMD อย่าง XDNA 2 ที่ให้ได้ถึง 50 TOPS

    Loongson ยังเผยว่าได้ปรับปรุงสถาปัตยกรรมภายในหลายจุด เช่น ลดพื้นที่ของ stream processor ลง 20% เพิ่มความถี่การทำงานขึ้น 25% และลดการใช้พลังงานในโหลดต่ำลงถึง 70% GPU นี้รองรับ API อย่าง OpenGL 4.0 และ OpenCL ES 3.2 และมีประสิทธิภาพสูงกว่ากราฟิกในตัว LG200 ที่อยู่ในซีพียู 2K3000 ถึง 4 เท่า

    แม้จะยังไม่มีข้อมูลสเปกเต็ม แต่ Loongson ก็ประกาศแผนต่อยอดด้วยรุ่น 9A2000 ที่จะเร็วกว่า 9A1000 ถึง 10 เท่า และมีประสิทธิภาพใกล้เคียงกับ NVIDIA RTX 2080 รวมถึงรุ่น 9A3000 ที่อยู่ในแผนพัฒนาแล้วเช่นกัน

    การเปิดตัวนี้สะท้อนความพยายามของจีนในการสร้าง ecosystem ด้าน GPU ที่พึ่งพาตนเองได้ โดยมีบริษัทอื่น ๆ เช่น Moore Threads, Biren และ Lisuan ร่วมแข่งขันในตลาด แม้หลายสตาร์ทอัพจะล้มเหลวไปก่อนหน้านี้ แต่ Loongson ยังคงเดินหน้าด้วยความมั่นคงและเป้าหมายระยะยาว

    ข้อมูลสำคัญจากข่าว
    Loongson 9A1000 เป็น GPU รุ่นแรกของบริษัท เตรียมเข้าสู่ขั้นตอน tapeout ใน Q3 ปี 2025
    พัฒนาเริ่มต้นตั้งแต่ปี 2023 และวางตำแหน่งเป็นกราฟิกการ์ดระดับเริ่มต้น
    ประสิทธิภาพใกล้เคียง AMD RX 550 พร้อมรองรับ AI acceleration
    ให้พลังประมวลผล AI สูงถึง 40 TOPS ใกล้เคียงกับ AMD XDNA 2 NPU

    จุดเด่นด้านสถาปัตยกรรม
    ลดพื้นที่ stream processor ลง 20% เพื่อเพิ่มประสิทธิภาพ
    เพิ่มความถี่การทำงานขึ้น 25% และลดการใช้พลังงานในโหลดต่ำลง 70%
    รองรับ OpenGL 4.0 และ OpenCL ES 3.2
    เร็วกว่กราฟิกในตัว LG200 ถึง 4 เท่า

    แผนพัฒนารุ่นต่อไป
    Loongson 9A2000 จะเร็วกว่า 9A1000 ถึง 10 เท่า และใกล้เคียง RTX 2080
    Loongson 9A3000 อยู่ในแผนพัฒนา แต่ยังไม่มีข้อมูลสเปก
    Loongson เข้าร่วมแข่งขันในตลาด GPU จีนร่วมกับ Moore Threads, Biren และ Lisuan

    ข้อมูลเสริมจากภายนอก
    RX 550 เป็นกราฟิกการ์ดระดับเริ่มต้นที่เปิดตัวในปี 2017
    40 TOPS ถือว่าเพียงพอสำหรับงาน AI inference ระดับเบื้องต้น เช่น การรู้จำภาพหรือเสียง
    การลดพลังงานในโหลดต่ำช่วยให้เหมาะกับงาน edge computing และ embedded systems
    การเข้าสู่ตลาด GPU ถือเป็นการขยายขอบเขตธุรกิจของ Loongson จากเดิมที่เน้นซีพียู

    https://www.tomshardware.com/pc-components/gpus/chinas-entry-level-gpu-with-amd-rx-550-level-of-performance-is-ready-for-tapeout-loongson-9a1000-is-finally-off-the-drawing-board-and-headed-to-fabs
    🎮 “Loongson 9A1000: ก้าวแรกของ GPU จีนสู่ความเป็นอิสระ — ประสิทธิภาพระดับ RX 550 พร้อมพลัง AI 40 TOPS” หลังจากพัฒนาอย่างเงียบ ๆ มาตั้งแต่ปี 2023 บริษัท Loongson Technology ได้ประกาศความคืบหน้าครั้งสำคัญในเดือนกันยายน 2025 กับ GPU รุ่นแรกของบริษัทชื่อว่า “Loongson 9A1000” ซึ่งเตรียมเข้าสู่กระบวนการ tapeout หรือการส่งแบบไปผลิตจริงในไตรมาสที่ 3 ของปีนี้ ถือเป็นการเปิดตัวอย่างเป็นทางการของ Loongson ในตลาดกราฟิกการ์ด หลังจากที่เคยเน้นเฉพาะด้านซีพียูมาก่อน 9A1000 ถูกวางตำแหน่งเป็นกราฟิกการ์ดระดับเริ่มต้น โดยมีประสิทธิภาพใกล้เคียงกับ AMD Radeon RX 550 ซึ่งเปิดตัวมาตั้งแต่ปี 2017 แต่สิ่งที่น่าสนใจคือการรองรับการเร่งความเร็วด้าน AI ด้วยพลังประมวลผลสูงถึง 40 TOPS ซึ่งใกล้เคียงกับ NPU รุ่นใหม่ของ AMD อย่าง XDNA 2 ที่ให้ได้ถึง 50 TOPS Loongson ยังเผยว่าได้ปรับปรุงสถาปัตยกรรมภายในหลายจุด เช่น ลดพื้นที่ของ stream processor ลง 20% เพิ่มความถี่การทำงานขึ้น 25% และลดการใช้พลังงานในโหลดต่ำลงถึง 70% GPU นี้รองรับ API อย่าง OpenGL 4.0 และ OpenCL ES 3.2 และมีประสิทธิภาพสูงกว่ากราฟิกในตัว LG200 ที่อยู่ในซีพียู 2K3000 ถึง 4 เท่า แม้จะยังไม่มีข้อมูลสเปกเต็ม แต่ Loongson ก็ประกาศแผนต่อยอดด้วยรุ่น 9A2000 ที่จะเร็วกว่า 9A1000 ถึง 10 เท่า และมีประสิทธิภาพใกล้เคียงกับ NVIDIA RTX 2080 รวมถึงรุ่น 9A3000 ที่อยู่ในแผนพัฒนาแล้วเช่นกัน การเปิดตัวนี้สะท้อนความพยายามของจีนในการสร้าง ecosystem ด้าน GPU ที่พึ่งพาตนเองได้ โดยมีบริษัทอื่น ๆ เช่น Moore Threads, Biren และ Lisuan ร่วมแข่งขันในตลาด แม้หลายสตาร์ทอัพจะล้มเหลวไปก่อนหน้านี้ แต่ Loongson ยังคงเดินหน้าด้วยความมั่นคงและเป้าหมายระยะยาว ✅ ข้อมูลสำคัญจากข่าว ➡️ Loongson 9A1000 เป็น GPU รุ่นแรกของบริษัท เตรียมเข้าสู่ขั้นตอน tapeout ใน Q3 ปี 2025 ➡️ พัฒนาเริ่มต้นตั้งแต่ปี 2023 และวางตำแหน่งเป็นกราฟิกการ์ดระดับเริ่มต้น ➡️ ประสิทธิภาพใกล้เคียง AMD RX 550 พร้อมรองรับ AI acceleration ➡️ ให้พลังประมวลผล AI สูงถึง 40 TOPS ใกล้เคียงกับ AMD XDNA 2 NPU ✅ จุดเด่นด้านสถาปัตยกรรม ➡️ ลดพื้นที่ stream processor ลง 20% เพื่อเพิ่มประสิทธิภาพ ➡️ เพิ่มความถี่การทำงานขึ้น 25% และลดการใช้พลังงานในโหลดต่ำลง 70% ➡️ รองรับ OpenGL 4.0 และ OpenCL ES 3.2 ➡️ เร็วกว่กราฟิกในตัว LG200 ถึง 4 เท่า ✅ แผนพัฒนารุ่นต่อไป ➡️ Loongson 9A2000 จะเร็วกว่า 9A1000 ถึง 10 เท่า และใกล้เคียง RTX 2080 ➡️ Loongson 9A3000 อยู่ในแผนพัฒนา แต่ยังไม่มีข้อมูลสเปก ➡️ Loongson เข้าร่วมแข่งขันในตลาด GPU จีนร่วมกับ Moore Threads, Biren และ Lisuan ✅ ข้อมูลเสริมจากภายนอก ➡️ RX 550 เป็นกราฟิกการ์ดระดับเริ่มต้นที่เปิดตัวในปี 2017 ➡️ 40 TOPS ถือว่าเพียงพอสำหรับงาน AI inference ระดับเบื้องต้น เช่น การรู้จำภาพหรือเสียง ➡️ การลดพลังงานในโหลดต่ำช่วยให้เหมาะกับงาน edge computing และ embedded systems ➡️ การเข้าสู่ตลาด GPU ถือเป็นการขยายขอบเขตธุรกิจของ Loongson จากเดิมที่เน้นซีพียู https://www.tomshardware.com/pc-components/gpus/chinas-entry-level-gpu-with-amd-rx-550-level-of-performance-is-ready-for-tapeout-loongson-9a1000-is-finally-off-the-drawing-board-and-headed-to-fabs
    0 ความคิดเห็น 0 การแบ่งปัน 19 มุมมอง 0 รีวิว
  • BONNY ขอท้าพิสูจน์! เครื่องหั่นผัก 1 ตัว แทนแรงงานได้กี่คน?

    เคยสงสัยไหมว่าทำไมธุรกิจอาหารที่เติบโตเร็ว ถึงใช้เครื่องจักรเข้ามาช่วย? เพราะนั่นคือการลงทุนเพื่อเพิ่มประสิทธิภาพและลดต้นทุนในระยะยาว

    BONNY เครื่องหั่นผัก (Vegetable Cutter) คือคำตอบ!
    ประสิทธิภาพสูง: หั่นผักได้ 50-100 kg/hr ด้วยมอเตอร์ 1 แรงม้า
    สารพัดประโยชน์: เปลี่ยนจานใบมีดได้ถึง 6 แบบ ทั้งหั่นเต๋า หั่นฝอย หรือหั่นแว่น
    แข็งแรงทนทาน: น้ำหนัก 26 kg. ขนาดกระทัดรัด 50x23x55 ซม. เคลื่อนย้ายง่าย

    ไม่ว่าจะเป็นแครอท หรือกะหล่ำปลี ก็หั่นได้เนียนกริบ สม่ำเสมอ พร้อมส่งเข้ากระบวนการผลิตต่อทันที!

    สนใจยกระดับธุรกิจของคุณ?
    ปรึกษาฟรี! ติดต่อเราได้เลย
    m.me/yonghahheng
    02-215-3515-9, 081-3189098

    #เครื่องหั่นผัก #เครื่องจักรแปรรูปอาหาร #เครื่องหั่น #เครื่องสไลด์ #เครื่องบด #เครื่องครัวร้านอาหาร #เครื่องหั่นเต๋า #เครื่องหั่นผักอเนกประสงค์ #หั่นผัก #หั่นเต๋า #หั่นเผือก #หั่นแครอท #หั่นกะหล่ำปลี #ร้านอาหาร #ธุรกิจอาหาร #ครัวร้านอาหาร #อาหารแปรรูป #โรงงานอาหาร #เครื่องครัว #อุปกรณ์ร้านอาหาร #SMEไทย #เครื่องจักรอาหาร #ลดต้นทุน #เพิ่มประสิทธิภาพ #อุปกรณ์ครัวมืออาชีพ #ยงฮะเฮง #BONNY #Yonghahheng #VegetableCutter #FoodProcessingMachine
    BONNY ขอท้าพิสูจน์! เครื่องหั่นผัก 1 ตัว แทนแรงงานได้กี่คน? เคยสงสัยไหมว่าทำไมธุรกิจอาหารที่เติบโตเร็ว ถึงใช้เครื่องจักรเข้ามาช่วย? เพราะนั่นคือการลงทุนเพื่อเพิ่มประสิทธิภาพและลดต้นทุนในระยะยาว BONNY เครื่องหั่นผัก (Vegetable Cutter) คือคำตอบ! ✨ ประสิทธิภาพสูง: หั่นผักได้ 50-100 kg/hr ด้วยมอเตอร์ 1 แรงม้า ✨ สารพัดประโยชน์: เปลี่ยนจานใบมีดได้ถึง 6 แบบ ทั้งหั่นเต๋า หั่นฝอย หรือหั่นแว่น ✨ แข็งแรงทนทาน: น้ำหนัก 26 kg. ขนาดกระทัดรัด 50x23x55 ซม. เคลื่อนย้ายง่าย ไม่ว่าจะเป็นแครอท หรือกะหล่ำปลี ก็หั่นได้เนียนกริบ สม่ำเสมอ พร้อมส่งเข้ากระบวนการผลิตต่อทันที! สนใจยกระดับธุรกิจของคุณ? ปรึกษาฟรี! ติดต่อเราได้เลย 👇 📥 m.me/yonghahheng 📞 02-215-3515-9, 081-3189098 #เครื่องหั่นผัก #เครื่องจักรแปรรูปอาหาร #เครื่องหั่น #เครื่องสไลด์ #เครื่องบด #เครื่องครัวร้านอาหาร #เครื่องหั่นเต๋า #เครื่องหั่นผักอเนกประสงค์ #หั่นผัก #หั่นเต๋า #หั่นเผือก #หั่นแครอท #หั่นกะหล่ำปลี #ร้านอาหาร #ธุรกิจอาหาร #ครัวร้านอาหาร #อาหารแปรรูป #โรงงานอาหาร #เครื่องครัว #อุปกรณ์ร้านอาหาร #SMEไทย #เครื่องจักรอาหาร #ลดต้นทุน #เพิ่มประสิทธิภาพ #อุปกรณ์ครัวมืออาชีพ #ยงฮะเฮง #BONNY #Yonghahheng #VegetableCutter #FoodProcessingMachine
    0 ความคิดเห็น 0 การแบ่งปัน 76 มุมมอง 0 รีวิว
  • “ASRock เปิดตัว AI QuickSet WSL — ติดตั้งแอป AI บน Windows ง่ายเหมือนใช้วิซาร์ด พร้อมรองรับ GPU AMD รุ่นใหม่”

    ในยุคที่แอปพลิเคชัน AI ส่วนใหญ่ถูกออกแบบให้ทำงานบน Linux การใช้งานบน Windows กลายเป็นเรื่องยุ่งยากสำหรับผู้ใช้ทั่วไป โดยเฉพาะผู้ที่ไม่มีพื้นฐานด้านการตั้งค่าสภาพแวดล้อม ASRock จึงเปิดตัวเครื่องมือเวอร์ชันใหม่ชื่อว่า “AI QuickSet WSL” ที่ช่วยให้ผู้ใช้สามารถติดตั้งและใช้งานแอป AI บน Windows ได้ง่ายขึ้น ผ่านระบบ Windows Subsystem for Linux (WSL)

    AI QuickSet WSL เป็นการต่อยอดจากเวอร์ชันแรกที่รองรับการติดตั้งแอป AI ทั้งบน Windows และ Linux โดยเวอร์ชันใหม่นี้เน้นการใช้งาน Linux-based AI บน Windows โดยเฉพาะ ด้วยการใช้แพลตฟอร์ม AMD ROCm ที่รองรับการเร่งความเร็วด้วย GPU จาก ASRock Radeon RX 7900 Series หรือใหม่กว่า

    ผู้ใช้สามารถติดตั้งแอป AI ได้ผ่าน GUI แบบวิซาร์ดที่มีขั้นตอนชัดเจน ไม่ต้องตั้งค่าด้วยตนเอง เช่น การเลือก runtime, การปรับแต่ง LLM หรือการจัดการ container โดยแอปจะจัดการให้ทั้งหมด พร้อมแอป AI ที่ติดตั้งมาให้ล่วงหน้า เช่น Audiocraft สำหรับสร้างเสียงจากข้อความ, PixtoonLab สำหรับแปลงภาพเป็นการ์ตูน, และ Video Background Remover สำหรับลบพื้นหลังวิดีโอ

    แม้จะรองรับทั้ง CPU Intel และ AMD แต่เครื่องมือนี้มีข้อกำหนดฮาร์ดแวร์ที่ค่อนข้างสูง เช่น RAM 64GB, Windows 11 เวอร์ชัน 24H2, และพื้นที่ว่างบนไดรฟ์ C: อย่างน้อย 150GB ซึ่งสะท้อนว่าเครื่องมือนี้ออกแบบมาเพื่อผู้ใช้ระดับจริงจังที่ต้องการประสิทธิภาพสูงสุดในการประมวลผล AI

    ข้อมูลสำคัญจากข่าว
    ASRock เปิดตัว AI QuickSet WSL สำหรับติดตั้งแอป AI Linux บน Windows
    ใช้แพลตฟอร์ม AMD ROCm เพื่อเร่งความเร็วด้วย GPU Radeon RX 7900 Series ขึ้นไป
    รองรับการติดตั้งผ่าน GUI แบบวิซาร์ด — ไม่ต้องตั้งค่าด้วยตนเอง
    มีแอป AI ติดตั้งล่วงหน้า เช่น Audiocraft, PixtoonLab, Video Background Remover

    ความสามารถและการใช้งาน
    รองรับ CPU Intel Gen 12 ขึ้นไป และ AMD Ryzen 5000 ขึ้นไป
    ต้องใช้ RAM 64GB และ Windows 11 เวอร์ชัน 24H2
    ต้องติดตั้งบนไดรฟ์ C: โดยมีพื้นที่ว่างอย่างน้อย 150GB
    รองรับเฉพาะ GPU ที่ผลิตโดย ASRock — ไม่รองรับการ์ด MBA จาก AMD

    ข้อมูลเสริมจากภายนอก
    WSL เป็นระบบ virtualization ที่ไม่มี GUI — ทำให้เบาและเร็ว
    ROCm เป็นแพลตฟอร์มของ AMD สำหรับงาน HPC และ AI โดยเฉพาะ
    การใช้ GUI ช่วยลดอุปสรรคสำหรับผู้เริ่มต้นที่ไม่ถนัดคำสั่ง CLI
    แอป AI ที่ติดตั้งล่วงหน้าครอบคลุมงานเสียง ภาพ วิดีโอ และข้อความ

    https://www.tomshardware.com/tech-industry/artificial-intelligence/asrocks-revamped-ai-quickset-wsl-virtualization-tool-makes-it-easy-to-run-linux-ai-apps-on-windows
    🖥️ “ASRock เปิดตัว AI QuickSet WSL — ติดตั้งแอป AI บน Windows ง่ายเหมือนใช้วิซาร์ด พร้อมรองรับ GPU AMD รุ่นใหม่” ในยุคที่แอปพลิเคชัน AI ส่วนใหญ่ถูกออกแบบให้ทำงานบน Linux การใช้งานบน Windows กลายเป็นเรื่องยุ่งยากสำหรับผู้ใช้ทั่วไป โดยเฉพาะผู้ที่ไม่มีพื้นฐานด้านการตั้งค่าสภาพแวดล้อม ASRock จึงเปิดตัวเครื่องมือเวอร์ชันใหม่ชื่อว่า “AI QuickSet WSL” ที่ช่วยให้ผู้ใช้สามารถติดตั้งและใช้งานแอป AI บน Windows ได้ง่ายขึ้น ผ่านระบบ Windows Subsystem for Linux (WSL) AI QuickSet WSL เป็นการต่อยอดจากเวอร์ชันแรกที่รองรับการติดตั้งแอป AI ทั้งบน Windows และ Linux โดยเวอร์ชันใหม่นี้เน้นการใช้งาน Linux-based AI บน Windows โดยเฉพาะ ด้วยการใช้แพลตฟอร์ม AMD ROCm ที่รองรับการเร่งความเร็วด้วย GPU จาก ASRock Radeon RX 7900 Series หรือใหม่กว่า ผู้ใช้สามารถติดตั้งแอป AI ได้ผ่าน GUI แบบวิซาร์ดที่มีขั้นตอนชัดเจน ไม่ต้องตั้งค่าด้วยตนเอง เช่น การเลือก runtime, การปรับแต่ง LLM หรือการจัดการ container โดยแอปจะจัดการให้ทั้งหมด พร้อมแอป AI ที่ติดตั้งมาให้ล่วงหน้า เช่น Audiocraft สำหรับสร้างเสียงจากข้อความ, PixtoonLab สำหรับแปลงภาพเป็นการ์ตูน, และ Video Background Remover สำหรับลบพื้นหลังวิดีโอ แม้จะรองรับทั้ง CPU Intel และ AMD แต่เครื่องมือนี้มีข้อกำหนดฮาร์ดแวร์ที่ค่อนข้างสูง เช่น RAM 64GB, Windows 11 เวอร์ชัน 24H2, และพื้นที่ว่างบนไดรฟ์ C: อย่างน้อย 150GB ซึ่งสะท้อนว่าเครื่องมือนี้ออกแบบมาเพื่อผู้ใช้ระดับจริงจังที่ต้องการประสิทธิภาพสูงสุดในการประมวลผล AI ✅ ข้อมูลสำคัญจากข่าว ➡️ ASRock เปิดตัว AI QuickSet WSL สำหรับติดตั้งแอป AI Linux บน Windows ➡️ ใช้แพลตฟอร์ม AMD ROCm เพื่อเร่งความเร็วด้วย GPU Radeon RX 7900 Series ขึ้นไป ➡️ รองรับการติดตั้งผ่าน GUI แบบวิซาร์ด — ไม่ต้องตั้งค่าด้วยตนเอง ➡️ มีแอป AI ติดตั้งล่วงหน้า เช่น Audiocraft, PixtoonLab, Video Background Remover ✅ ความสามารถและการใช้งาน ➡️ รองรับ CPU Intel Gen 12 ขึ้นไป และ AMD Ryzen 5000 ขึ้นไป ➡️ ต้องใช้ RAM 64GB และ Windows 11 เวอร์ชัน 24H2 ➡️ ต้องติดตั้งบนไดรฟ์ C: โดยมีพื้นที่ว่างอย่างน้อย 150GB ➡️ รองรับเฉพาะ GPU ที่ผลิตโดย ASRock — ไม่รองรับการ์ด MBA จาก AMD ✅ ข้อมูลเสริมจากภายนอก ➡️ WSL เป็นระบบ virtualization ที่ไม่มี GUI — ทำให้เบาและเร็ว ➡️ ROCm เป็นแพลตฟอร์มของ AMD สำหรับงาน HPC และ AI โดยเฉพาะ ➡️ การใช้ GUI ช่วยลดอุปสรรคสำหรับผู้เริ่มต้นที่ไม่ถนัดคำสั่ง CLI ➡️ แอป AI ที่ติดตั้งล่วงหน้าครอบคลุมงานเสียง ภาพ วิดีโอ และข้อความ https://www.tomshardware.com/tech-industry/artificial-intelligence/asrocks-revamped-ai-quickset-wsl-virtualization-tool-makes-it-easy-to-run-linux-ai-apps-on-windows
    WWW.TOMSHARDWARE.COM
    ASRock's revamped AI Quickset WSL virtualization tool makes it easy to run Linux AI apps on Windows
    ASRock's tool takes all the guesswork out of installing AI models on PC, including ones designed to work primarily in Linux.
    0 ความคิดเห็น 0 การแบ่งปัน 69 มุมมอง 0 รีวิว
  • “AMD เปิดตัว ‘1000 FPS Club’ — ซีพียู X3D รุ่นใหม่ทะลุขีดจำกัดเฟรมเรตในเกมอีสปอร์ต พร้อมท้าทายขีดจำกัดของจอภาพ”

    AMD สร้างกระแสฮือฮาในวงการเกมอีกครั้ง ด้วยการเปิดตัว “1000 FPS Club” ซึ่งเป็นกลุ่มซีพียูรุ่นใหม่จากตระกูล Ryzen 9000X3D ที่สามารถทำเฟรมเรตทะลุ 1000 FPS ในเกมอีสปอร์ตยอดนิยม เช่น Counter-Strike 2, Valorant, League of Legends, PUBG, Naraka: Bladepoint และ Marvel Rivals2 โดยสมาชิกของคลับนี้ประกอบด้วย Ryzen 7 9800X3D, Ryzen 9 9950X3D และ Ryzen 9 9955HX3D สำหรับโน้ตบุ๊ก

    ความสำเร็จนี้เกิดจากเทคโนโลยี 3D V-Cache ที่เพิ่มแคชบน CCD ทำให้การประมวลผลเร็วขึ้นอย่างมีนัยสำคัญ โดยการทดสอบใช้ความละเอียด 1080p พร้อม RAM 6000 MT/s CL30 และปิดฟีเจอร์ Virtualization กับ Smart Access Memory เพื่อให้ได้ประสิทธิภาพสูงสุด

    อย่างไรก็ตาม การทำเฟรมเรตระดับนี้ยังต้องพึ่ง GPU ระดับสูง โดยเฉพาะ GeForce RTX 5080 และ RTX 5090D ซึ่งสามารถทำได้ครบทั้ง 6 เกมเมื่อจับคู่กับซีพียูของ AMD ส่วน Radeon RX 9070 XT ของ AMD เองทำได้เพียงใน Valorant และ LoL3

    แม้จะไม่มีจอภาพ 1000Hz วางขายในตลาดตอนนี้ แต่ AMD ก็ใช้โอกาสนี้แสดงให้เห็นถึงศักยภาพของซีพียูในยุค Zen 5 ที่พร้อมรองรับอนาคตของเกมที่ต้องการความเร็วระดับสูงสุด โดยเฉพาะในสายอีสปอร์ตที่ “เฟรมเรตคือชัยชนะ”

    ข้อมูลสำคัญจากข่าว
    AMD เปิดตัว “1000 FPS Club” สำหรับซีพียู Ryzen 9000X3D รุ่นใหม่
    สมาชิกประกอบด้วย Ryzen 7 9800X3D, Ryzen 9 9950X3D และ Ryzen 9 9955HX3D
    ทำเฟรมเรตทะลุ 1000 FPS ใน 6 เกมอีสปอร์ตยอดนิยมที่ความละเอียด 1080p
    ใช้ RAM 6000 MT/s CL30 และปิด SAM กับ Virtualization เพื่อเพิ่มประสิทธิภาพ

    การจับคู่กับ GPU และผลลัพธ์
    RTX 5080 และ RTX 5090D สามารถทำได้ครบทั้ง 6 เกมเมื่อจับคู่กับซีพียู X3D
    Radeon RX 9070 XT ทำได้เพียงใน Valorant และ League of Legends
    Ryzen 9 9950X3D และ 9800X3D เป็นตัวเลือกหลักในการทดสอบ
    Ryzen 9 9955HX3D ถูกกล่าวถึงแต่ไม่มีผลการทดสอบอย่างเป็นทางการ

    ข้อมูลเสริมจากภายนอก
    เทคโนโลยี 3D V-Cache เพิ่มประสิทธิภาพเกมโดยเฉพาะในงานที่ใช้แคชหนัก
    จอภาพ 1000Hz ยังไม่มีวางขาย แต่มีการพัฒนา เช่น จอ OLED 720Hz และจอ 750Hz จาก HKC
    การทดสอบใช้ Windows 11 เวอร์ชัน 24H2 และปิดฟีเจอร์ที่ลดประสิทธิภาพ
    การทำเฟรมเรตสูงในเกมอีสปอร์ตช่วยลด input lag และเพิ่มความแม่นยำในการแข่งขัน

    https://www.tomshardware.com/pc-components/cpus/amd-claims-three-of-its-x3d-cpus-can-hit-1000-fps-in-esports-games-ryzen-7-9800x3d-ryzen-9-9950x3d-and-9955hx3d-are-in-the-1000-fps-club
    🔥 “AMD เปิดตัว ‘1000 FPS Club’ — ซีพียู X3D รุ่นใหม่ทะลุขีดจำกัดเฟรมเรตในเกมอีสปอร์ต พร้อมท้าทายขีดจำกัดของจอภาพ” AMD สร้างกระแสฮือฮาในวงการเกมอีกครั้ง ด้วยการเปิดตัว “1000 FPS Club” ซึ่งเป็นกลุ่มซีพียูรุ่นใหม่จากตระกูล Ryzen 9000X3D ที่สามารถทำเฟรมเรตทะลุ 1000 FPS ในเกมอีสปอร์ตยอดนิยม เช่น Counter-Strike 2, Valorant, League of Legends, PUBG, Naraka: Bladepoint และ Marvel Rivals2 โดยสมาชิกของคลับนี้ประกอบด้วย Ryzen 7 9800X3D, Ryzen 9 9950X3D และ Ryzen 9 9955HX3D สำหรับโน้ตบุ๊ก ความสำเร็จนี้เกิดจากเทคโนโลยี 3D V-Cache ที่เพิ่มแคชบน CCD ทำให้การประมวลผลเร็วขึ้นอย่างมีนัยสำคัญ โดยการทดสอบใช้ความละเอียด 1080p พร้อม RAM 6000 MT/s CL30 และปิดฟีเจอร์ Virtualization กับ Smart Access Memory เพื่อให้ได้ประสิทธิภาพสูงสุด อย่างไรก็ตาม การทำเฟรมเรตระดับนี้ยังต้องพึ่ง GPU ระดับสูง โดยเฉพาะ GeForce RTX 5080 และ RTX 5090D ซึ่งสามารถทำได้ครบทั้ง 6 เกมเมื่อจับคู่กับซีพียูของ AMD ส่วน Radeon RX 9070 XT ของ AMD เองทำได้เพียงใน Valorant และ LoL3 แม้จะไม่มีจอภาพ 1000Hz วางขายในตลาดตอนนี้ แต่ AMD ก็ใช้โอกาสนี้แสดงให้เห็นถึงศักยภาพของซีพียูในยุค Zen 5 ที่พร้อมรองรับอนาคตของเกมที่ต้องการความเร็วระดับสูงสุด โดยเฉพาะในสายอีสปอร์ตที่ “เฟรมเรตคือชัยชนะ” ✅ ข้อมูลสำคัญจากข่าว ➡️ AMD เปิดตัว “1000 FPS Club” สำหรับซีพียู Ryzen 9000X3D รุ่นใหม่ ➡️ สมาชิกประกอบด้วย Ryzen 7 9800X3D, Ryzen 9 9950X3D และ Ryzen 9 9955HX3D ➡️ ทำเฟรมเรตทะลุ 1000 FPS ใน 6 เกมอีสปอร์ตยอดนิยมที่ความละเอียด 1080p ➡️ ใช้ RAM 6000 MT/s CL30 และปิด SAM กับ Virtualization เพื่อเพิ่มประสิทธิภาพ ✅ การจับคู่กับ GPU และผลลัพธ์ ➡️ RTX 5080 และ RTX 5090D สามารถทำได้ครบทั้ง 6 เกมเมื่อจับคู่กับซีพียู X3D ➡️ Radeon RX 9070 XT ทำได้เพียงใน Valorant และ League of Legends ➡️ Ryzen 9 9950X3D และ 9800X3D เป็นตัวเลือกหลักในการทดสอบ ➡️ Ryzen 9 9955HX3D ถูกกล่าวถึงแต่ไม่มีผลการทดสอบอย่างเป็นทางการ ✅ ข้อมูลเสริมจากภายนอก ➡️ เทคโนโลยี 3D V-Cache เพิ่มประสิทธิภาพเกมโดยเฉพาะในงานที่ใช้แคชหนัก ➡️ จอภาพ 1000Hz ยังไม่มีวางขาย แต่มีการพัฒนา เช่น จอ OLED 720Hz และจอ 750Hz จาก HKC ➡️ การทดสอบใช้ Windows 11 เวอร์ชัน 24H2 และปิดฟีเจอร์ที่ลดประสิทธิภาพ ➡️ การทำเฟรมเรตสูงในเกมอีสปอร์ตช่วยลด input lag และเพิ่มความแม่นยำในการแข่งขัน https://www.tomshardware.com/pc-components/cpus/amd-claims-three-of-its-x3d-cpus-can-hit-1000-fps-in-esports-games-ryzen-7-9800x3d-ryzen-9-9950x3d-and-9955hx3d-are-in-the-1000-fps-club
    0 ความคิดเห็น 0 การแบ่งปัน 64 มุมมอง 0 รีวิว
  • “Giada 1.3 อัปเดตใหม่ รองรับการเชื่อมต่อเสียงหลายช่อง — เครื่องมือสาย Loop ที่เล็กแต่ทรงพลังสำหรับนักดนตรีสด”

    Giada 1.3 เวอร์ชันล่าสุดของซอฟต์แวร์ open-source สำหรับการผลิตดนตรีแบบ loop-based ได้เปิดตัวอย่างเป็นทางการในเดือนกันยายน 2025 โดยมาพร้อมฟีเจอร์ใหม่ที่น่าสนใจสำหรับนักดนตรีสายสดและผู้ใช้งานระดับมืออาชีพ โดยเฉพาะการรองรับการเชื่อมต่อเสียงหลายช่องผ่าน JACK Audio Connection Kit ซึ่งช่วยให้สามารถส่งสัญญาณเสียงไปยังอุปกรณ์ภายนอกหรือซอฟต์แวร์อื่นเพื่อการบันทึกแบบ multitrack ได้อย่างยืดหยุ่น

    Giada เป็นเครื่องมือที่ออกแบบมาให้เรียบง่ายแต่ทรงพลัง ใช้ได้ทั้งเป็น loop machine, sample player, song editor, live recorder, FX processor และ MIDI controller โดยในเวอร์ชัน 1.3 นี้ยังมีการปรับปรุงหน้าต่าง Plug-in Browser ให้ใช้งานง่ายขึ้น อัปเดตไลบรารี FLTK เป็นเวอร์ชัน 1.4.4 และปรับโครงสร้างโค้ดภายในเพื่อให้ทำงานได้ลื่นไหลและเสถียรมากขึ้น

    ผู้ใช้สามารถดาวน์โหลด Giada 1.3 ได้ทั้งแบบ source tarball และ Flatpak จาก Flathub ซึ่งรองรับการใช้งานบนทุกดิสโทรของ GNU/Linux โดยไม่ต้องติดตั้งอะไรเพิ่มเติม แต่ทีมพัฒนาแนะนำให้ใช้ binary package จาก repository ของดิสโทรเพื่อประสบการณ์ที่ดีที่สุด

    ฟีเจอร์ใหม่ใน Giada 1.3
    รองรับการเชื่อมต่อเสียงหลายช่องผ่าน JACK Audio Connection Kit
    สามารถส่งสัญญาณเสียงไปยังอุปกรณ์ภายนอกหรือซอฟต์แวร์อื่นเพื่อบันทึก multitrack
    ปรับปรุงหน้าต่าง Plug-in Browser ให้สวยงามและใช้งานง่ายขึ้น
    อัปเดต FLTK เป็นเวอร์ชัน 1.4.4 เพื่อรองรับ UI ที่ทันสมัยและเสถียร

    ความสามารถของ Giada
    ใช้เป็น loop machine, sample player, song editor, live recorder, FX processor และ MIDI controller
    รองรับ VST3, LV2 และ MIDI I/O สำหรับการใช้งานแบบมืออาชีพ
    ออกแบบมาเพื่อการแสดงสด — ใช้งานง่ายและมีประสิทธิภาพสูง
    รองรับการทำงานแบบ multithreaded เพื่อประสิทธิภาพเสียงที่ดีขึ้น

    ข้อมูลเสริมจากภายนอก
    Giada เป็นซอฟต์แวร์ open-source ภายใต้ GPL — ใช้งานฟรีและปรับแต่งได้
    Flatpak ช่วยให้ติดตั้ง Giada ได้ง่ายบนทุกดิสโทร Linux โดยไม่ต้องพึ่ง dependency ภายนอก
    JACK เป็นระบบเชื่อมต่อเสียงที่นิยมใน Linux สำหรับงานดนตรีระดับมืออาชีพ
    FLTK เป็นไลบรารี UI ที่เบาและเร็ว เหมาะกับแอปที่ต้องการประสิทธิภาพสูง

    https://9to5linux.com/giada-1-3-open-source-loop-machine-adds-support-for-multiple-audio-connections
    🎧 “Giada 1.3 อัปเดตใหม่ รองรับการเชื่อมต่อเสียงหลายช่อง — เครื่องมือสาย Loop ที่เล็กแต่ทรงพลังสำหรับนักดนตรีสด” Giada 1.3 เวอร์ชันล่าสุดของซอฟต์แวร์ open-source สำหรับการผลิตดนตรีแบบ loop-based ได้เปิดตัวอย่างเป็นทางการในเดือนกันยายน 2025 โดยมาพร้อมฟีเจอร์ใหม่ที่น่าสนใจสำหรับนักดนตรีสายสดและผู้ใช้งานระดับมืออาชีพ โดยเฉพาะการรองรับการเชื่อมต่อเสียงหลายช่องผ่าน JACK Audio Connection Kit ซึ่งช่วยให้สามารถส่งสัญญาณเสียงไปยังอุปกรณ์ภายนอกหรือซอฟต์แวร์อื่นเพื่อการบันทึกแบบ multitrack ได้อย่างยืดหยุ่น Giada เป็นเครื่องมือที่ออกแบบมาให้เรียบง่ายแต่ทรงพลัง ใช้ได้ทั้งเป็น loop machine, sample player, song editor, live recorder, FX processor และ MIDI controller โดยในเวอร์ชัน 1.3 นี้ยังมีการปรับปรุงหน้าต่าง Plug-in Browser ให้ใช้งานง่ายขึ้น อัปเดตไลบรารี FLTK เป็นเวอร์ชัน 1.4.4 และปรับโครงสร้างโค้ดภายในเพื่อให้ทำงานได้ลื่นไหลและเสถียรมากขึ้น ผู้ใช้สามารถดาวน์โหลด Giada 1.3 ได้ทั้งแบบ source tarball และ Flatpak จาก Flathub ซึ่งรองรับการใช้งานบนทุกดิสโทรของ GNU/Linux โดยไม่ต้องติดตั้งอะไรเพิ่มเติม แต่ทีมพัฒนาแนะนำให้ใช้ binary package จาก repository ของดิสโทรเพื่อประสบการณ์ที่ดีที่สุด ✅ ฟีเจอร์ใหม่ใน Giada 1.3 ➡️ รองรับการเชื่อมต่อเสียงหลายช่องผ่าน JACK Audio Connection Kit ➡️ สามารถส่งสัญญาณเสียงไปยังอุปกรณ์ภายนอกหรือซอฟต์แวร์อื่นเพื่อบันทึก multitrack ➡️ ปรับปรุงหน้าต่าง Plug-in Browser ให้สวยงามและใช้งานง่ายขึ้น ➡️ อัปเดต FLTK เป็นเวอร์ชัน 1.4.4 เพื่อรองรับ UI ที่ทันสมัยและเสถียร ✅ ความสามารถของ Giada ➡️ ใช้เป็น loop machine, sample player, song editor, live recorder, FX processor และ MIDI controller ➡️ รองรับ VST3, LV2 และ MIDI I/O สำหรับการใช้งานแบบมืออาชีพ ➡️ ออกแบบมาเพื่อการแสดงสด — ใช้งานง่ายและมีประสิทธิภาพสูง ➡️ รองรับการทำงานแบบ multithreaded เพื่อประสิทธิภาพเสียงที่ดีขึ้น ✅ ข้อมูลเสริมจากภายนอก ➡️ Giada เป็นซอฟต์แวร์ open-source ภายใต้ GPL — ใช้งานฟรีและปรับแต่งได้ ➡️ Flatpak ช่วยให้ติดตั้ง Giada ได้ง่ายบนทุกดิสโทร Linux โดยไม่ต้องพึ่ง dependency ภายนอก ➡️ JACK เป็นระบบเชื่อมต่อเสียงที่นิยมใน Linux สำหรับงานดนตรีระดับมืออาชีพ ➡️ FLTK เป็นไลบรารี UI ที่เบาและเร็ว เหมาะกับแอปที่ต้องการประสิทธิภาพสูง https://9to5linux.com/giada-1-3-open-source-loop-machine-adds-support-for-multiple-audio-connections
    9TO5LINUX.COM
    Giada 1.3 Open-Source Loop Machine Adds Support for Multiple Audio Connections - 9to5Linux
    Giada 1.3 open-source loop machine and music production software is now available for download with support for multiple audio connections.
    0 ความคิดเห็น 0 การแบ่งปัน 63 มุมมอง 0 รีวิว
  • “Mini SSD ขนาดเท่าเหรียญแต่เร็วทะลุ 3,700MB/s — Biwin อาจเปลี่ยนโลกการ์ดความจำ หากยื่นขอมาตรฐานทันเวลา”

    Biwin ผู้ผลิตหน่วยความจำจากจีนเปิดตัว “Mini SSD” ที่มีขนาดเล็กกว่าเหรียญ 1 บาท แต่ให้ความจุสูงถึง 2TB และความเร็วในการอ่านข้อมูลถึง 3,700MB/s ซึ่งเหนือกว่าการ์ด MicroSD Express ที่เร็วสุดเพียง 985MB/s โดยใช้การเชื่อมต่อแบบ PCIe Gen4 x2 และเทคโนโลยี NVMe 1.4 ทำให้ Mini SSD เข้าใกล้ประสิทธิภาพของ SD Express ที่ใหญ่กว่าหลายเท่า

    ตัว Mini SSD มีขนาดเพียง 15 x 17 x 1.4 มม. และสามารถถอดเปลี่ยนได้เหมือนซิมการ์ด ด้วยถาดแบบ eject tray พร้อมคุณสมบัติกันน้ำกันฝุ่นระดับ IP68 และทนต่อแรงกระแทกจากการตกสูงถึง 3 เมตร เหมาะกับอุปกรณ์พกพา เช่น แท็บเล็ต กล้อง และเกมคอนโซลแบบ handheld ซึ่งมีผู้ผลิตบางรายเริ่มนำไปใช้แล้ว เช่น GPD Win 5 และ OneXPlayer Super X

    อย่างไรก็ตาม แม้จะมีศักยภาพสูง แต่ Mini SSD ยังไม่มีการยื่นขอรับรองจากองค์กรมาตรฐานอย่าง SDA (Secure Digital Association) หรือ PCI-SIG ซึ่งเป็นหน่วยงานที่กำหนดมาตรฐานการ์ดความจำและการเชื่อมต่อ หาก Biwin ไม่ดำเนินการในจุดนี้ Mini SSD อาจกลายเป็นผลิตภัณฑ์เฉพาะกลุ่มที่ไม่สามารถใช้งานได้อย่างแพร่หลายเหมือน MicroSD ที่เคยประสบความสำเร็จจากการยื่นขอมาตรฐานตั้งแต่ปี 2005

    จุดเด่นของ Mini SSD จาก Biwin
    ขนาดเล็กมาก: 15 x 17 x 1.4 มม. — เล็กกว่าเหรียญ US penny
    ความจุสูงถึง 2TB และความเร็วอ่าน/เขียน 3,700MB/s / 3,400MB/s
    ใช้ PCIe Gen4 x2 และ NVMe 1.4 — ใกล้เคียง SD Express
    ถอดเปลี่ยนได้แบบถาดซิม พร้อมคุณสมบัติกันน้ำกันฝุ่น IP68

    การใช้งานและการนำไปใช้
    เหมาะกับอุปกรณ์พกพา เช่น แท็บเล็ต กล้อง และเกมคอนโซล
    มีผู้ผลิตเริ่มนำไปใช้แล้ว เช่น GPD Win 5 และ OneXPlayer Super X
    ทนต่อแรงกระแทกจากการตกสูงถึง 3 เมตร — เหมาะกับการใช้งานกลางแจ้ง
    รองรับการใช้งานในระบบ edge computing และ NAS ขนาดเล็ก

    ข้อมูลเสริมจากภายนอก
    MicroSD Express มีความเร็วสูงสุดเพียง 985MB/s — ต่ำกว่า Mini SSD เกือบ 4 เท่า
    SD Express มีความเร็วใกล้เคียง Mini SSD แต่มีขนาดใหญ่กว่า
    การยื่นขอมาตรฐานกับ SDA หรือ PCI-SIG จะเปิดทางให้ผู้ผลิตรายอื่นนำไปใช้
    เทคโนโลยี LGA packaging ช่วยให้ Mini SSD มีความทนทานและประสิทธิภาพสูง

    https://www.techradar.com/pro/the-smallest-ssd-ever-could-replace-universal-microsd-memory-cards-permanently-if-its-inventor-does-one-thing
    📦 “Mini SSD ขนาดเท่าเหรียญแต่เร็วทะลุ 3,700MB/s — Biwin อาจเปลี่ยนโลกการ์ดความจำ หากยื่นขอมาตรฐานทันเวลา” Biwin ผู้ผลิตหน่วยความจำจากจีนเปิดตัว “Mini SSD” ที่มีขนาดเล็กกว่าเหรียญ 1 บาท แต่ให้ความจุสูงถึง 2TB และความเร็วในการอ่านข้อมูลถึง 3,700MB/s ซึ่งเหนือกว่าการ์ด MicroSD Express ที่เร็วสุดเพียง 985MB/s โดยใช้การเชื่อมต่อแบบ PCIe Gen4 x2 และเทคโนโลยี NVMe 1.4 ทำให้ Mini SSD เข้าใกล้ประสิทธิภาพของ SD Express ที่ใหญ่กว่าหลายเท่า ตัว Mini SSD มีขนาดเพียง 15 x 17 x 1.4 มม. และสามารถถอดเปลี่ยนได้เหมือนซิมการ์ด ด้วยถาดแบบ eject tray พร้อมคุณสมบัติกันน้ำกันฝุ่นระดับ IP68 และทนต่อแรงกระแทกจากการตกสูงถึง 3 เมตร เหมาะกับอุปกรณ์พกพา เช่น แท็บเล็ต กล้อง และเกมคอนโซลแบบ handheld ซึ่งมีผู้ผลิตบางรายเริ่มนำไปใช้แล้ว เช่น GPD Win 5 และ OneXPlayer Super X อย่างไรก็ตาม แม้จะมีศักยภาพสูง แต่ Mini SSD ยังไม่มีการยื่นขอรับรองจากองค์กรมาตรฐานอย่าง SDA (Secure Digital Association) หรือ PCI-SIG ซึ่งเป็นหน่วยงานที่กำหนดมาตรฐานการ์ดความจำและการเชื่อมต่อ หาก Biwin ไม่ดำเนินการในจุดนี้ Mini SSD อาจกลายเป็นผลิตภัณฑ์เฉพาะกลุ่มที่ไม่สามารถใช้งานได้อย่างแพร่หลายเหมือน MicroSD ที่เคยประสบความสำเร็จจากการยื่นขอมาตรฐานตั้งแต่ปี 2005 ✅ จุดเด่นของ Mini SSD จาก Biwin ➡️ ขนาดเล็กมาก: 15 x 17 x 1.4 มม. — เล็กกว่าเหรียญ US penny ➡️ ความจุสูงถึง 2TB และความเร็วอ่าน/เขียน 3,700MB/s / 3,400MB/s ➡️ ใช้ PCIe Gen4 x2 และ NVMe 1.4 — ใกล้เคียง SD Express ➡️ ถอดเปลี่ยนได้แบบถาดซิม พร้อมคุณสมบัติกันน้ำกันฝุ่น IP68 ✅ การใช้งานและการนำไปใช้ ➡️ เหมาะกับอุปกรณ์พกพา เช่น แท็บเล็ต กล้อง และเกมคอนโซล ➡️ มีผู้ผลิตเริ่มนำไปใช้แล้ว เช่น GPD Win 5 และ OneXPlayer Super X ➡️ ทนต่อแรงกระแทกจากการตกสูงถึง 3 เมตร — เหมาะกับการใช้งานกลางแจ้ง ➡️ รองรับการใช้งานในระบบ edge computing และ NAS ขนาดเล็ก ✅ ข้อมูลเสริมจากภายนอก ➡️ MicroSD Express มีความเร็วสูงสุดเพียง 985MB/s — ต่ำกว่า Mini SSD เกือบ 4 เท่า ➡️ SD Express มีความเร็วใกล้เคียง Mini SSD แต่มีขนาดใหญ่กว่า ➡️ การยื่นขอมาตรฐานกับ SDA หรือ PCI-SIG จะเปิดทางให้ผู้ผลิตรายอื่นนำไปใช้ ➡️ เทคโนโลยี LGA packaging ช่วยให้ Mini SSD มีความทนทานและประสิทธิภาพสูง https://www.techradar.com/pro/the-smallest-ssd-ever-could-replace-universal-microsd-memory-cards-permanently-if-its-inventor-does-one-thing
    0 ความคิดเห็น 0 การแบ่งปัน 91 มุมมอง 0 รีวิว
  • “รู้หรือไม่? ‘Ti’ บนการ์ดจอ NVIDIA หมายถึงอะไร — ไม่ใช่แค่ชื่อเท่ แต่คือพลังที่เพิ่มขึ้นจริง”

    หากคุณเคยเลือกซื้อการ์ดจอ NVIDIA แล้วเจอรุ่นที่มีคำว่า “Ti” ต่อท้าย เช่น RTX 3080 Ti หรือ GTX 1660 Ti คุณอาจสงสัยว่า “Ti” หมายถึงอะไร และมันต่างจากรุ่นธรรมดาอย่างไร บทความจาก SlashGear ได้อธิบายไว้อย่างชัดเจนว่า “Ti” ย่อมาจาก “Titanium” ซึ่งแม้จะไม่ได้หมายถึงวัสดุที่ใช้ผลิตจริง แต่เป็นการสื่อถึงความแข็งแกร่งและประสิทธิภาพที่เหนือกว่า

    การ์ดจอรุ่น Ti มักจะมีสเปกที่สูงกว่ารุ่นธรรมดาในซีรีส์เดียวกัน เช่น มีจำนวน CUDA cores มากขึ้น, หน่วยความจำ (VRAM) เพิ่มขึ้น หรือความเร็วในการประมวลผลสูงขึ้น ซึ่งส่งผลให้การเรนเดอร์ภาพ การเล่นเกม และการตัดต่อวิดีโอทำได้ลื่นไหลกว่าเดิม

    อย่างไรก็ตาม การปรับแต่งของแต่ละรุ่น Ti ไม่ได้เหมือนกันหมด เช่น RTX 3080 Ti มี VRAM มากกว่า RTX 3080 อยู่ 2GB และมี CUDA cores เพิ่มขึ้นถึง 1,716 cores แต่รุ่นอื่น ๆ อาจมีการเพิ่มแค่บางส่วน ดังนั้นการเปรียบเทียบต้องดูเป็นรุ่นต่อรุ่น

    ในปี 2025 NVIDIA ได้เปิดตัวซีรีส์ RTX 50 ซึ่งยังคงมีรุ่น Ti เช่น RTX 5070 Ti ที่มาพร้อม 8960 CUDA cores และหน่วยความจำ GDDR7 ขนาด 16GB ซึ่งถือว่าเป็นตัวเลือกที่คุ้มค่าสำหรับเกมเมอร์และสายครีเอทีฟที่ต้องการประสิทธิภาพสูงโดยไม่ต้องข้ามไปยังรุ่น 5090 ที่แพงกว่า

    ความหมายและความสามารถของรุ่น Ti
    “Ti” ย่อมาจาก “Titanium” ใช้เพื่อสื่อถึงความแข็งแกร่งและประสิทธิภาพที่เหนือกว่า
    รุ่น Ti มักมี CUDA cores และ VRAM มากกว่ารุ่นธรรมดาในซีรีส์เดียวกัน
    RTX 3080 Ti มี VRAM เพิ่มขึ้น 2GB และ CUDA cores มากกว่า 1,700 cores เมื่อเทียบกับ RTX 3080
    RTX 5070 Ti ในปี 2025 มี 8960 CUDA cores และ GDDR7 ขนาด 16GB — เหมาะกับเกมระดับ AAA และงานกราฟิกหนัก

    การใช้งานและประโยชน์
    รุ่น Ti เหมาะกับผู้ใช้ที่ต้องการเฟรมเรตสูงและภาพลื่นไหลในการเล่นเกม
    เหมาะกับงานตัดต่อวิดีโอ, เรนเดอร์ 3D, และการทำงานด้าน AI ที่ต้องการประสิทธิภาพสูง
    รุ่น Ti มักมีราคาสูงกว่ารุ่นธรรมดา แต่ยังถูกกว่ารุ่นสูงสุดในซีรีส์ เช่น RTX 5090
    การเลือกใช้รุ่น Ti ช่วยลดการ drop frame และเพิ่มความละเอียดในการแสดงผล

    ข้อมูลเสริมจากภายนอก
    NVIDIA ยังมีรุ่น “Super” และ “Super Ti” ที่อยู่ระหว่างรุ่นธรรมดากับรุ่น Ti
    การ์ดจอรุ่น Ti มีในหลายซีรีส์ เช่น GTX 16, RTX 20, 30, 40 และล่าสุด RTX 50
    การเปรียบเทียบประสิทธิภาพควรดูจาก benchmark เช่น 3DMark หรือ Time Spy
    CUDA cores คือหน่วยประมวลผลขนาดเล็กที่ช่วยให้ GPU ทำงานแบบขนานได้ดีขึ้น

    https://www.slashgear.com/1966061/nvidia-graphics-card-ti-meaning-explained/
    ⚙️ “รู้หรือไม่? ‘Ti’ บนการ์ดจอ NVIDIA หมายถึงอะไร — ไม่ใช่แค่ชื่อเท่ แต่คือพลังที่เพิ่มขึ้นจริง” หากคุณเคยเลือกซื้อการ์ดจอ NVIDIA แล้วเจอรุ่นที่มีคำว่า “Ti” ต่อท้าย เช่น RTX 3080 Ti หรือ GTX 1660 Ti คุณอาจสงสัยว่า “Ti” หมายถึงอะไร และมันต่างจากรุ่นธรรมดาอย่างไร บทความจาก SlashGear ได้อธิบายไว้อย่างชัดเจนว่า “Ti” ย่อมาจาก “Titanium” ซึ่งแม้จะไม่ได้หมายถึงวัสดุที่ใช้ผลิตจริง แต่เป็นการสื่อถึงความแข็งแกร่งและประสิทธิภาพที่เหนือกว่า การ์ดจอรุ่น Ti มักจะมีสเปกที่สูงกว่ารุ่นธรรมดาในซีรีส์เดียวกัน เช่น มีจำนวน CUDA cores มากขึ้น, หน่วยความจำ (VRAM) เพิ่มขึ้น หรือความเร็วในการประมวลผลสูงขึ้น ซึ่งส่งผลให้การเรนเดอร์ภาพ การเล่นเกม และการตัดต่อวิดีโอทำได้ลื่นไหลกว่าเดิม อย่างไรก็ตาม การปรับแต่งของแต่ละรุ่น Ti ไม่ได้เหมือนกันหมด เช่น RTX 3080 Ti มี VRAM มากกว่า RTX 3080 อยู่ 2GB และมี CUDA cores เพิ่มขึ้นถึง 1,716 cores แต่รุ่นอื่น ๆ อาจมีการเพิ่มแค่บางส่วน ดังนั้นการเปรียบเทียบต้องดูเป็นรุ่นต่อรุ่น ในปี 2025 NVIDIA ได้เปิดตัวซีรีส์ RTX 50 ซึ่งยังคงมีรุ่น Ti เช่น RTX 5070 Ti ที่มาพร้อม 8960 CUDA cores และหน่วยความจำ GDDR7 ขนาด 16GB ซึ่งถือว่าเป็นตัวเลือกที่คุ้มค่าสำหรับเกมเมอร์และสายครีเอทีฟที่ต้องการประสิทธิภาพสูงโดยไม่ต้องข้ามไปยังรุ่น 5090 ที่แพงกว่า ✅ ความหมายและความสามารถของรุ่น Ti ➡️ “Ti” ย่อมาจาก “Titanium” ใช้เพื่อสื่อถึงความแข็งแกร่งและประสิทธิภาพที่เหนือกว่า ➡️ รุ่น Ti มักมี CUDA cores และ VRAM มากกว่ารุ่นธรรมดาในซีรีส์เดียวกัน ➡️ RTX 3080 Ti มี VRAM เพิ่มขึ้น 2GB และ CUDA cores มากกว่า 1,700 cores เมื่อเทียบกับ RTX 3080 ➡️ RTX 5070 Ti ในปี 2025 มี 8960 CUDA cores และ GDDR7 ขนาด 16GB — เหมาะกับเกมระดับ AAA และงานกราฟิกหนัก ✅ การใช้งานและประโยชน์ ➡️ รุ่น Ti เหมาะกับผู้ใช้ที่ต้องการเฟรมเรตสูงและภาพลื่นไหลในการเล่นเกม ➡️ เหมาะกับงานตัดต่อวิดีโอ, เรนเดอร์ 3D, และการทำงานด้าน AI ที่ต้องการประสิทธิภาพสูง ➡️ รุ่น Ti มักมีราคาสูงกว่ารุ่นธรรมดา แต่ยังถูกกว่ารุ่นสูงสุดในซีรีส์ เช่น RTX 5090 ➡️ การเลือกใช้รุ่น Ti ช่วยลดการ drop frame และเพิ่มความละเอียดในการแสดงผล ✅ ข้อมูลเสริมจากภายนอก ➡️ NVIDIA ยังมีรุ่น “Super” และ “Super Ti” ที่อยู่ระหว่างรุ่นธรรมดากับรุ่น Ti ➡️ การ์ดจอรุ่น Ti มีในหลายซีรีส์ เช่น GTX 16, RTX 20, 30, 40 และล่าสุด RTX 50 ➡️ การเปรียบเทียบประสิทธิภาพควรดูจาก benchmark เช่น 3DMark หรือ Time Spy ➡️ CUDA cores คือหน่วยประมวลผลขนาดเล็กที่ช่วยให้ GPU ทำงานแบบขนานได้ดีขึ้น https://www.slashgear.com/1966061/nvidia-graphics-card-ti-meaning-explained/
    WWW.SLASHGEAR.COM
    What Does 'Ti' Mean When It Comes To Nvidia GPUs? - SlashGear
    Nvidia's GPUs have been considered some of the best on the market, including the Ti releases, but what does the Ti designation mean for owners?
    0 ความคิดเห็น 0 การแบ่งปัน 63 มุมมอง 0 รีวิว
  • เรื่องเล่าจาก CUDA ถึง ROCm: เมื่อ Elon Musk บอกว่า “AMD ก็ทำงานได้ดี”

    Elon Musk ได้โพสต์ข้อความบน X (Twitter เดิม) ว่า AMD Instinct ทำงาน “ค่อนข้างดี” สำหรับโมเดล AI ขนาดเล็กถึงกลาง เช่น inference, fine-tuning และ foundation model ที่ไม่ใหญ่มาก แม้ว่า NVIDIA จะยังคงเป็นตัวเลือกหลักสำหรับงาน training ขนาดใหญ่ แต่คำชมจาก Elon ก็ถือเป็นสัญญาณว่า AMD กำลังไล่ทัน

    ที่ผ่านมา NVIDIA ครองตลาดด้วย CUDA ซึ่งเป็น ecosystem แบบ lock-in ที่ทำให้ผู้พัฒนาไม่สามารถเปลี่ยนไปใช้แพลตฟอร์มอื่นได้ง่าย ๆ แต่ AMD กำลังตอบโต้ด้วย ROCm ที่เปิดกว้างและพัฒนาอย่างรวดเร็ว โดยเฉพาะในรุ่น MI300 และ MI355X ที่ xAI ของ Elon ก็ใช้งานอยู่

    แม้ AMD จะยังไม่ได้รับความนิยมจาก Big Tech เท่ากับ NVIDIA แต่ก็เริ่มมีการใช้งานใน hyperscaler และ cloud provider มากขึ้น เช่น Oracle Cloud และ Dell ที่เริ่มนำ MI350 Series ไปใช้ใน rack-scale AI infrastructure

    AMD ยังเตรียมเปิดตัว MI450 และ Helios rack ที่จะใช้ HBM4 และ EPYC Venice CPU เพื่อเร่งงาน training ขนาดใหญ่ โดยตั้งเป้าให้ลูกค้า “ไม่มีข้ออ้าง” ที่จะไม่เลือก AMD อีกต่อไป

    Elon Musk สนับสนุน AMD สำหรับโมเดล AI ขนาดเล็กถึงกลาง
    กล่าวว่า AMD ทำงานได้ดีสำหรับ inference และ fine-tuning
    xAI ของ Elon ใช้ AMD Instinct MI300/MI355X ในบาง workload
    Tesla ก็เคยร่วมมือกับ AMD ในด้าน hardware

    จุดแข็งของ AMD ในตลาด AI
    MI355X ใช้สถาปัตยกรรม CDNA 4 และ ROCm 7
    มี HBM3E สูงสุด 288 GB และ bandwidth สูงถึง 8 TB/s
    ประสิทธิภาพ inference สูงขึ้นถึง 35 เท่าเมื่อเทียบกับรุ่นก่อน

    การขยาย ecosystem ของ AMD
    ROCm รองรับโมเดลใหญ่ เช่น LLaMA และ DeepSeek ตั้งแต่วันแรก
    มี developer cloud สำหรับนักพัฒนา AI โดยเฉพาะ
    OEM อย่าง Dell, HPE, Supermicro เริ่มนำ MI350 Series ไปใช้ในระบบ on-prem และ hybrid

    แผนการเปิดตัว MI450 และ Helios rack
    ใช้ HBM4 และ EPYC Venice CPU พร้อม NIC Vulcano 800G
    รองรับ 72 GPU ต่อ rack และให้ bandwidth สูงถึง 1.4 PBps
    ตั้งเป้าให้ประสิทธิภาพสูงกว่า NVIDIA Vera Rubin ถึง 50% ในด้าน memory และ throughput

    https://wccftech.com/elon-musk-endorses-amd-for-small-to-medium-ai-models/
    🎙️ เรื่องเล่าจาก CUDA ถึง ROCm: เมื่อ Elon Musk บอกว่า “AMD ก็ทำงานได้ดี” Elon Musk ได้โพสต์ข้อความบน X (Twitter เดิม) ว่า AMD Instinct ทำงาน “ค่อนข้างดี” สำหรับโมเดล AI ขนาดเล็กถึงกลาง เช่น inference, fine-tuning และ foundation model ที่ไม่ใหญ่มาก แม้ว่า NVIDIA จะยังคงเป็นตัวเลือกหลักสำหรับงาน training ขนาดใหญ่ แต่คำชมจาก Elon ก็ถือเป็นสัญญาณว่า AMD กำลังไล่ทัน ที่ผ่านมา NVIDIA ครองตลาดด้วย CUDA ซึ่งเป็น ecosystem แบบ lock-in ที่ทำให้ผู้พัฒนาไม่สามารถเปลี่ยนไปใช้แพลตฟอร์มอื่นได้ง่าย ๆ แต่ AMD กำลังตอบโต้ด้วย ROCm ที่เปิดกว้างและพัฒนาอย่างรวดเร็ว โดยเฉพาะในรุ่น MI300 และ MI355X ที่ xAI ของ Elon ก็ใช้งานอยู่ แม้ AMD จะยังไม่ได้รับความนิยมจาก Big Tech เท่ากับ NVIDIA แต่ก็เริ่มมีการใช้งานใน hyperscaler และ cloud provider มากขึ้น เช่น Oracle Cloud และ Dell ที่เริ่มนำ MI350 Series ไปใช้ใน rack-scale AI infrastructure AMD ยังเตรียมเปิดตัว MI450 และ Helios rack ที่จะใช้ HBM4 และ EPYC Venice CPU เพื่อเร่งงาน training ขนาดใหญ่ โดยตั้งเป้าให้ลูกค้า “ไม่มีข้ออ้าง” ที่จะไม่เลือก AMD อีกต่อไป ✅ Elon Musk สนับสนุน AMD สำหรับโมเดล AI ขนาดเล็กถึงกลาง ➡️ กล่าวว่า AMD ทำงานได้ดีสำหรับ inference และ fine-tuning ➡️ xAI ของ Elon ใช้ AMD Instinct MI300/MI355X ในบาง workload ➡️ Tesla ก็เคยร่วมมือกับ AMD ในด้าน hardware ✅ จุดแข็งของ AMD ในตลาด AI ➡️ MI355X ใช้สถาปัตยกรรม CDNA 4 และ ROCm 7 ➡️ มี HBM3E สูงสุด 288 GB และ bandwidth สูงถึง 8 TB/s ➡️ ประสิทธิภาพ inference สูงขึ้นถึง 35 เท่าเมื่อเทียบกับรุ่นก่อน ✅ การขยาย ecosystem ของ AMD ➡️ ROCm รองรับโมเดลใหญ่ เช่น LLaMA และ DeepSeek ตั้งแต่วันแรก ➡️ มี developer cloud สำหรับนักพัฒนา AI โดยเฉพาะ ➡️ OEM อย่าง Dell, HPE, Supermicro เริ่มนำ MI350 Series ไปใช้ในระบบ on-prem และ hybrid ✅ แผนการเปิดตัว MI450 และ Helios rack ➡️ ใช้ HBM4 และ EPYC Venice CPU พร้อม NIC Vulcano 800G ➡️ รองรับ 72 GPU ต่อ rack และให้ bandwidth สูงถึง 1.4 PBps ➡️ ตั้งเป้าให้ประสิทธิภาพสูงกว่า NVIDIA Vera Rubin ถึง 50% ในด้าน memory และ throughput https://wccftech.com/elon-musk-endorses-amd-for-small-to-medium-ai-models/
    WCCFTECH.COM
    Elon Musk ‘Endorses’ AMD's AI Hardware for Small to Medium AI Models, Implying That There's Potential to Ease Reliance on NVIDIA
    Billionaire Elon Musk has tweeted on the performance of AMD's AI hardware, claiming that it is sufficient for small and medium AI models.
    0 ความคิดเห็น 0 การแบ่งปัน 125 มุมมอง 0 รีวิว
  • เรื่องเล่าจาก OCTOPUS ถึง SCUP-HPC: เมื่อซูเปอร์คอมพิวเตอร์กลายเป็นผู้บันทึกความจริงของงานวิจัย

    มหาวิทยาลัยโอซาก้า D3 Center ร่วมกับ NEC เปิดตัว OCTOPUS (Osaka University Compute and sTOrage Platform Urging open Science) ซึ่งเป็นซูเปอร์คอมพิวเตอร์ที่มีพลังการประมวลผล 2.293 petaflops โดยใช้ 140 โหนดของ NEC LX201Ein-1 ที่ออกแบบมาเพื่อรองรับงานวิจัยแบบเปิด (Open Science)

    จุดเด่นของ OCTOPUS ไม่ใช่แค่ความเร็ว แต่คือระบบ “provenance management” ที่สามารถบันทึกและติดตามกระบวนการคำนวณทั้งหมด เช่น ข้อมูลใดถูกใช้ โปรแกรมใดเรียกใช้ และผลลัพธ์ใดถูกสร้างขึ้น โดยไม่กระทบต่อประสิทธิภาพของระบบ

    เทคโนโลยีนี้ชื่อว่า SCUP-HPC (Scientific Computing Unifying Provenance – High Performance Computing) ซึ่งพัฒนาโดยทีมของ Susumu Date จากห้องวิจัยร่วมระหว่าง NEC และมหาวิทยาลัยโอซาก้า โดยเริ่มต้นในปี 2021

    SCUP-HPC ช่วยให้ผู้ใช้สามารถค้นหาประวัติการคำนวณด้วย ID เฉพาะ และแสดงผลแบบ visualization ได้ ทำให้นักวิจัยสามารถใส่รหัสประวัติการคำนวณในบทความวิชาการ เพื่อยืนยันว่าใช้ OCTOPUS จริงในการสร้างผลลัพธ์

    ระบบนี้ยังช่วยแก้ปัญหาการบันทึกข้อมูลด้วยมือที่อาจผิดพลาดหรือไม่ครบถ้วน ซึ่งเป็นปัญหาใหญ่ในงานวิจัยที่ต้องการความโปร่งใสและตรวจสอบได้ โดยเฉพาะในยุคที่ AI และ Big Data กลายเป็นเครื่องมือหลักของนักวิทยาศาสตร์

    NEC ยังมีแผนจะนำ SCUP-HPC ไปใช้เชิงพาณิชย์ในอนาคต และจะขยายแพลตฟอร์มนี้ไปสู่การใช้งานในอุตสาหกรรมและงานวิจัยด้าน AI อย่างเต็มรูปแบบ ภายใต้แนวคิด “NEC BluStellar” ที่เน้นการสร้างโครงสร้างพื้นฐานข้อมูลเพื่อการวิจัย

    การเปิดตัว OCTOPUS โดยมหาวิทยาลัยโอซาก้าและ NEC
    เริ่มทดลองใช้งานในเดือนกันยายน และเปิดใช้งานเต็มรูปแบบในเดือนธันวาคม 2025
    ใช้ 140 โหนดของ NEC LX201Ein-1 มีพลังการประมวลผล 2.293 petaflops
    ประสิทธิภาพสูงกว่าระบบเดิมประมาณ 1.5 เท่า

    เทคโนโลยี SCUP-HPC สำหรับการจัดการ provenance
    บันทึกว่าโปรแกรมใดใช้ข้อมูลใด และสร้างผลลัพธ์อะไร
    แสดงผลแบบ visualization และค้นหาด้วย history ID
    ช่วยให้นักวิจัยใส่รหัสการคำนวณในบทความเพื่อยืนยันความถูกต้อง

    เป้าหมายของระบบนี้
    ส่งเสริม Open Science โดยให้ข้อมูลวิจัยสามารถตรวจสอบและแบ่งปันได้
    ลดความผิดพลาดจากการบันทึกด้วยมือ
    เพิ่มความโปร่งใสและความน่าเชื่อถือของงานวิจัย

    แผนการขยายในอนาคต
    NEC เตรียมนำ SCUP-HPC ไปใช้เชิงพาณิชย์
    ขยายไปสู่การใช้งานในอุตสาหกรรมและงานวิจัยด้าน AI/Big Data
    อยู่ภายใต้แนวคิด NEC BluStellar เพื่อสร้างโครงสร้างพื้นฐานข้อมูลวิจัย

    https://www.techpowerup.com/340936/nec-provides-computing-power-for-octopus-supercomputer-at-osaka-university
    🎙️ เรื่องเล่าจาก OCTOPUS ถึง SCUP-HPC: เมื่อซูเปอร์คอมพิวเตอร์กลายเป็นผู้บันทึกความจริงของงานวิจัย มหาวิทยาลัยโอซาก้า D3 Center ร่วมกับ NEC เปิดตัว OCTOPUS (Osaka University Compute and sTOrage Platform Urging open Science) ซึ่งเป็นซูเปอร์คอมพิวเตอร์ที่มีพลังการประมวลผล 2.293 petaflops โดยใช้ 140 โหนดของ NEC LX201Ein-1 ที่ออกแบบมาเพื่อรองรับงานวิจัยแบบเปิด (Open Science) จุดเด่นของ OCTOPUS ไม่ใช่แค่ความเร็ว แต่คือระบบ “provenance management” ที่สามารถบันทึกและติดตามกระบวนการคำนวณทั้งหมด เช่น ข้อมูลใดถูกใช้ โปรแกรมใดเรียกใช้ และผลลัพธ์ใดถูกสร้างขึ้น โดยไม่กระทบต่อประสิทธิภาพของระบบ เทคโนโลยีนี้ชื่อว่า SCUP-HPC (Scientific Computing Unifying Provenance – High Performance Computing) ซึ่งพัฒนาโดยทีมของ Susumu Date จากห้องวิจัยร่วมระหว่าง NEC และมหาวิทยาลัยโอซาก้า โดยเริ่มต้นในปี 2021 SCUP-HPC ช่วยให้ผู้ใช้สามารถค้นหาประวัติการคำนวณด้วย ID เฉพาะ และแสดงผลแบบ visualization ได้ ทำให้นักวิจัยสามารถใส่รหัสประวัติการคำนวณในบทความวิชาการ เพื่อยืนยันว่าใช้ OCTOPUS จริงในการสร้างผลลัพธ์ ระบบนี้ยังช่วยแก้ปัญหาการบันทึกข้อมูลด้วยมือที่อาจผิดพลาดหรือไม่ครบถ้วน ซึ่งเป็นปัญหาใหญ่ในงานวิจัยที่ต้องการความโปร่งใสและตรวจสอบได้ โดยเฉพาะในยุคที่ AI และ Big Data กลายเป็นเครื่องมือหลักของนักวิทยาศาสตร์ NEC ยังมีแผนจะนำ SCUP-HPC ไปใช้เชิงพาณิชย์ในอนาคต และจะขยายแพลตฟอร์มนี้ไปสู่การใช้งานในอุตสาหกรรมและงานวิจัยด้าน AI อย่างเต็มรูปแบบ ภายใต้แนวคิด “NEC BluStellar” ที่เน้นการสร้างโครงสร้างพื้นฐานข้อมูลเพื่อการวิจัย ✅ การเปิดตัว OCTOPUS โดยมหาวิทยาลัยโอซาก้าและ NEC ➡️ เริ่มทดลองใช้งานในเดือนกันยายน และเปิดใช้งานเต็มรูปแบบในเดือนธันวาคม 2025 ➡️ ใช้ 140 โหนดของ NEC LX201Ein-1 มีพลังการประมวลผล 2.293 petaflops ➡️ ประสิทธิภาพสูงกว่าระบบเดิมประมาณ 1.5 เท่า ✅ เทคโนโลยี SCUP-HPC สำหรับการจัดการ provenance ➡️ บันทึกว่าโปรแกรมใดใช้ข้อมูลใด และสร้างผลลัพธ์อะไร ➡️ แสดงผลแบบ visualization และค้นหาด้วย history ID ➡️ ช่วยให้นักวิจัยใส่รหัสการคำนวณในบทความเพื่อยืนยันความถูกต้อง ✅ เป้าหมายของระบบนี้ ➡️ ส่งเสริม Open Science โดยให้ข้อมูลวิจัยสามารถตรวจสอบและแบ่งปันได้ ➡️ ลดความผิดพลาดจากการบันทึกด้วยมือ ➡️ เพิ่มความโปร่งใสและความน่าเชื่อถือของงานวิจัย ✅ แผนการขยายในอนาคต ➡️ NEC เตรียมนำ SCUP-HPC ไปใช้เชิงพาณิชย์ ➡️ ขยายไปสู่การใช้งานในอุตสาหกรรมและงานวิจัยด้าน AI/Big Data ➡️ อยู่ภายใต้แนวคิด NEC BluStellar เพื่อสร้างโครงสร้างพื้นฐานข้อมูลวิจัย https://www.techpowerup.com/340936/nec-provides-computing-power-for-octopus-supercomputer-at-osaka-university
    WWW.TECHPOWERUP.COM
    NEC Provides Computing Power for OCTOPUS Supercomputer at Osaka University
    The University of Osaka D3 Center will begin trial operations of the "Osaka University Compute and sTOrage Platform Urging open Science" (OCTOPUS), a computational and data platform promoting open science built by NEC Corporation (NEC; TSE: 6701), starting this September, with full-scale operations ...
    0 ความคิดเห็น 0 การแบ่งปัน 138 มุมมอง 0 รีวิว
  • “TCS เปิดตัวบริการออกแบบระบบด้วยชิปเลต — อินเดียเร่งเครื่องสู่ศูนย์กลางเซมิคอนดักเตอร์โลก”

    Tata Consultancy Services (TCS) บริษัทไอทีระดับโลกจากอินเดีย ประกาศเปิดตัวบริการใหม่ “Chiplet-Based System Engineering Services” เพื่อช่วยผู้ผลิตเซมิคอนดักเตอร์ออกแบบชิปยุคใหม่ที่มีประสิทธิภาพสูงขึ้น ต้นทุนต่ำลง และพร้อมตอบโจทย์ตลาดที่เปลี่ยนแปลงอย่างรวดเร็ว โดยใช้แนวคิด “ชิปเลต” ซึ่งเป็นวงจรขนาดเล็กที่สามารถประกอบรวมกันเป็นชิปขนาดใหญ่ได้ตามความต้องการ

    การเปิดตัวครั้งนี้เกิดขึ้นในช่วงที่อินเดียกำลังเร่งพัฒนาอุตสาหกรรมเซมิคอนดักเตอร์อย่างจริงจัง โดยมีมูลค่าตลาดอยู่ที่ 45–50 พันล้านดอลลาร์ในปี 2024–2025 และคาดว่าจะเพิ่มขึ้นเป็น 100–110 พันล้านดอลลาร์ภายในปี 2030 ภายใต้การสนับสนุนจากรัฐบาลผ่านโครงการ India Semiconductor Mission มูลค่า ₹76,000 crore

    TCS ให้บริการออกแบบและตรวจสอบตามมาตรฐานอุตสาหกรรม เช่น UCIe (Universal Chiplet Interconnect Express) และ HBM (High Bandwidth Memory) รวมถึงการออกแบบแพ็กเกจขั้นสูงแบบ 2.5D และ 3D interposer ซึ่งช่วยให้สามารถรวมชิปหลายตัวเข้าด้วยกันได้อย่างมีประสิทธิภาพ ทั้งในด้านความเร็ว ความเสถียร และขนาดที่กะทัดรัด

    บริการใหม่นี้ยังช่วยให้บริษัทสามารถเร่ง tape-out หรือการส่งแบบชิปเข้าสู่กระบวนการผลิตได้เร็วขึ้น ซึ่งเป็นปัจจัยสำคัญในการแข่งขันในตลาดที่ขับเคลื่อนด้วย AI, คลาวด์, สมาร์ตโฟน, รถยนต์ไฟฟ้า และอุปกรณ์เชื่อมต่อ

    จุดเด่นของบริการ Chiplet-Based System Engineering จาก TCS
    ใช้แนวคิด “ชิปเลต” เพื่อออกแบบชิปที่ยืดหยุ่นและปรับแต่งได้ตามความต้องการ
    ช่วยเร่ง tape-out และลดต้นทุนการผลิตชิป
    รองรับมาตรฐาน UCIe และ HBM สำหรับการเชื่อมต่อและหน่วยความจำความเร็วสูง
    ให้บริการออกแบบแพ็กเกจขั้นสูง เช่น 2.5D และ 3D interposer

    บริบทของตลาดเซมิคอนดักเตอร์อินเดีย
    มูลค่าตลาดปี 2024–2025 อยู่ที่ $45–50 พันล้าน และคาดว่าจะเพิ่มเป็น $100–110 พันล้านในปี 2030
    รัฐบาลสนับสนุนผ่านโครงการ India Semiconductor Mission มูลค่า ₹76,000 crore
    อินเดียมีวิศวกรออกแบบชิปคิดเป็น 20% ของโลก
    บริษัทต่างชาติเริ่มลงทุนตั้งโรงงานประกอบและออกแบบในอินเดีย

    ข้อมูลเสริมจากภายนอก
    แนวคิด chiplet-based design กำลังแทนที่การลดขนาดทรานซิสเตอร์แบบเดิม
    UCIe เป็นมาตรฐานเปิดที่ช่วยให้ชิปหลายตัวสื่อสารกันได้อย่างมีประสิทธิภาพ
    HBM เป็นหน่วยความจำที่ใช้ใน GPU และ AI accelerator ที่ต้องการความเร็วสูง
    TCS เคยร่วมมือกับบริษัทในอเมริกาเหนือเพื่อเร่งการผลิต AI processor ด้วยแนวทางนี้

    คำเตือนและข้อจำกัด
    การออกแบบด้วยชิปเลตยังมีความซับซ้อนด้านการจัดการสัญญาณและความร้อน
    การรวมชิปต่างชนิดอาจเกิดปัญหาเรื่อง latency และความเข้ากันได้
    มาตรฐาน UCIe ยังอยู่ระหว่างการพัฒนา — อาจมีการเปลี่ยนแปลงในอนาคต
    บริษัทที่ไม่มีความเชี่ยวชาญด้านแพ็กเกจขั้นสูงอาจไม่สามารถใช้ประโยชน์ได้เต็มที่
    การแข่งขันในตลาดเซมิคอนดักเตอร์ยังสูงมาก — ต้องมีนวัตกรรมต่อเนื่องเพื่ออยู่รอด

    https://www.techpowerup.com/340896/tcs-unveils-chiplet-based-system-engineering-services-to-accelerate-semiconductor-innovation
    🔧 “TCS เปิดตัวบริการออกแบบระบบด้วยชิปเลต — อินเดียเร่งเครื่องสู่ศูนย์กลางเซมิคอนดักเตอร์โลก” Tata Consultancy Services (TCS) บริษัทไอทีระดับโลกจากอินเดีย ประกาศเปิดตัวบริการใหม่ “Chiplet-Based System Engineering Services” เพื่อช่วยผู้ผลิตเซมิคอนดักเตอร์ออกแบบชิปยุคใหม่ที่มีประสิทธิภาพสูงขึ้น ต้นทุนต่ำลง และพร้อมตอบโจทย์ตลาดที่เปลี่ยนแปลงอย่างรวดเร็ว โดยใช้แนวคิด “ชิปเลต” ซึ่งเป็นวงจรขนาดเล็กที่สามารถประกอบรวมกันเป็นชิปขนาดใหญ่ได้ตามความต้องการ การเปิดตัวครั้งนี้เกิดขึ้นในช่วงที่อินเดียกำลังเร่งพัฒนาอุตสาหกรรมเซมิคอนดักเตอร์อย่างจริงจัง โดยมีมูลค่าตลาดอยู่ที่ 45–50 พันล้านดอลลาร์ในปี 2024–2025 และคาดว่าจะเพิ่มขึ้นเป็น 100–110 พันล้านดอลลาร์ภายในปี 2030 ภายใต้การสนับสนุนจากรัฐบาลผ่านโครงการ India Semiconductor Mission มูลค่า ₹76,000 crore TCS ให้บริการออกแบบและตรวจสอบตามมาตรฐานอุตสาหกรรม เช่น UCIe (Universal Chiplet Interconnect Express) และ HBM (High Bandwidth Memory) รวมถึงการออกแบบแพ็กเกจขั้นสูงแบบ 2.5D และ 3D interposer ซึ่งช่วยให้สามารถรวมชิปหลายตัวเข้าด้วยกันได้อย่างมีประสิทธิภาพ ทั้งในด้านความเร็ว ความเสถียร และขนาดที่กะทัดรัด บริการใหม่นี้ยังช่วยให้บริษัทสามารถเร่ง tape-out หรือการส่งแบบชิปเข้าสู่กระบวนการผลิตได้เร็วขึ้น ซึ่งเป็นปัจจัยสำคัญในการแข่งขันในตลาดที่ขับเคลื่อนด้วย AI, คลาวด์, สมาร์ตโฟน, รถยนต์ไฟฟ้า และอุปกรณ์เชื่อมต่อ ✅ จุดเด่นของบริการ Chiplet-Based System Engineering จาก TCS ➡️ ใช้แนวคิด “ชิปเลต” เพื่อออกแบบชิปที่ยืดหยุ่นและปรับแต่งได้ตามความต้องการ ➡️ ช่วยเร่ง tape-out และลดต้นทุนการผลิตชิป ➡️ รองรับมาตรฐาน UCIe และ HBM สำหรับการเชื่อมต่อและหน่วยความจำความเร็วสูง ➡️ ให้บริการออกแบบแพ็กเกจขั้นสูง เช่น 2.5D และ 3D interposer ✅ บริบทของตลาดเซมิคอนดักเตอร์อินเดีย ➡️ มูลค่าตลาดปี 2024–2025 อยู่ที่ $45–50 พันล้าน และคาดว่าจะเพิ่มเป็น $100–110 พันล้านในปี 2030 ➡️ รัฐบาลสนับสนุนผ่านโครงการ India Semiconductor Mission มูลค่า ₹76,000 crore ➡️ อินเดียมีวิศวกรออกแบบชิปคิดเป็น 20% ของโลก ➡️ บริษัทต่างชาติเริ่มลงทุนตั้งโรงงานประกอบและออกแบบในอินเดีย ✅ ข้อมูลเสริมจากภายนอก ➡️ แนวคิด chiplet-based design กำลังแทนที่การลดขนาดทรานซิสเตอร์แบบเดิม ➡️ UCIe เป็นมาตรฐานเปิดที่ช่วยให้ชิปหลายตัวสื่อสารกันได้อย่างมีประสิทธิภาพ ➡️ HBM เป็นหน่วยความจำที่ใช้ใน GPU และ AI accelerator ที่ต้องการความเร็วสูง ➡️ TCS เคยร่วมมือกับบริษัทในอเมริกาเหนือเพื่อเร่งการผลิต AI processor ด้วยแนวทางนี้ ‼️ คำเตือนและข้อจำกัด ⛔ การออกแบบด้วยชิปเลตยังมีความซับซ้อนด้านการจัดการสัญญาณและความร้อน ⛔ การรวมชิปต่างชนิดอาจเกิดปัญหาเรื่อง latency และความเข้ากันได้ ⛔ มาตรฐาน UCIe ยังอยู่ระหว่างการพัฒนา — อาจมีการเปลี่ยนแปลงในอนาคต ⛔ บริษัทที่ไม่มีความเชี่ยวชาญด้านแพ็กเกจขั้นสูงอาจไม่สามารถใช้ประโยชน์ได้เต็มที่ ⛔ การแข่งขันในตลาดเซมิคอนดักเตอร์ยังสูงมาก — ต้องมีนวัตกรรมต่อเนื่องเพื่ออยู่รอด https://www.techpowerup.com/340896/tcs-unveils-chiplet-based-system-engineering-services-to-accelerate-semiconductor-innovation
    WWW.TECHPOWERUP.COM
    TCS Unveils Chiplet-Based System Engineering Services to Accelerate Semiconductor Innovation
    Tata Consultancy Services a global leader in IT services, consulting, and business solutions, announced the launch of its Chiplet-based System Engineering Services, designed to help semiconductor companies push the boundaries of traditional chip design. By using chiplets (which are small integrated ...
    0 ความคิดเห็น 0 การแบ่งปัน 202 มุมมอง 0 รีวิว
  • “DOOMQL: เกมยิงแบบมัลติเพลเยอร์ที่เขียนด้วย SQL ล้วน ๆ — เมื่อฐานข้อมูลกลายเป็นเครื่องมือสร้างโลก 3D”

    ใครจะคิดว่า SQL ซึ่งเป็นภาษาที่ใช้จัดการฐานข้อมูล จะสามารถใช้สร้างเกมยิงแบบ DOOM ได้ ล่าสุด Lukas Vogel นักวิทยาศาสตร์คอมพิวเตอร์และผู้ร่วมก่อตั้ง CedarDB ได้สร้างเกมชื่อ “DOOMQL” ซึ่งเป็นเกมยิงแบบมัลติเพลเยอร์ที่เขียนด้วย “pure SQL” ทั้งหมด โดยใช้เวลาเพียงหนึ่งเดือนระหว่างการลาคลอด

    DOOMQL ใช้เพียง ~150 บรรทัดของ Python สำหรับ client ที่รับอินพุตจากผู้เล่นและแสดงภาพ ส่วนการประมวลผลทั้งหมด — ตั้งแต่การเรนเดอร์ภาพ 3D, การเคลื่อนไหวของศัตรู, ไปจนถึงการจัดการสถานะเกม — ถูกเขียนด้วย SQL ล้วน ๆ บนฐานข้อมูล CedarDB ซึ่งทำให้เกมสามารถรันได้ที่ 30 FPS บนความละเอียด 128x64 พิกเซล

    ความพิเศษของ DOOMQL คือการใช้ฐานข้อมูลเป็น “เซิร์ฟเวอร์เกม” โดยอาศัยคุณสมบัติของ SQL เช่น transaction isolation เพื่อให้ผู้เล่นแต่ละคนเห็นสถานะเกมที่สอดคล้องกัน แม้จะมีผู้เล่นหลายคนพร้อมกัน และยังสามารถ “โกง” ได้โดยการส่งคำสั่ง SQL ตรงเข้าไปในฐานข้อมูล

    Vogel ได้แรงบันดาลใจจากโปรเจกต์ DuckDB-DOOM ซึ่งใช้ SQL ร่วมกับ JavaScript ในการเรนเดอร์ภาพ แต่เขามองว่าการใช้ JavaScript เป็น “การโกง” และต้องการพิสูจน์ว่า SQL เพียงอย่างเดียวก็สามารถสร้างเกมได้จริง แม้จะยอมรับว่า “มันอาจจะเป็นไอเดียที่แย่” ในแง่ของการดูแลรักษาและดีบัก

    จุดเด่นของ DOOMQL
    เกมยิงแบบมัลติเพลเยอร์ที่เขียนด้วย SQL ล้วน ๆ — ไม่มี JavaScript หรือ engine ภายนอก
    ใช้ CedarDB เป็นฐานข้อมูลหลักในการจัดการสถานะและเรนเดอร์ภาพ
    รันที่ 30 FPS บนความละเอียด 128x64 พิกเซล — เร็วกว่ารุ่น DuckDB ที่รันได้เพียง 8 FPS
    ใช้เพียง ~150 บรรทัดของ Python สำหรับ client ที่รับอินพุตและแสดงภาพ

    สถาปัตยกรรมของเกม
    ข้อมูลทั้งหมดอยู่ในตาราง SQL เช่น map, players, mobs, inputs, sprites
    การเรนเดอร์ใช้ stack ของ SQL views ที่ทำ raycasting และ sprite projection
    game loop เป็น shell script ที่รัน SQL file ประมาณ 30 ครั้งต่อวินาที
    ผู้เล่นสามารถส่งคำสั่ง SQL เพื่อเปลี่ยนสถานะเกมหรือ “โกง” ได้โดยตรง

    ข้อมูลเสริมจากภายนอก
    DuckDB-DOOM เป็นโปรเจกต์ก่อนหน้า ที่ใช้ SQL ร่วมกับ JavaScript และ WebAssembly
    DOOMQL ได้รับความสนใจจากนักพัฒนาและนักวิจัยด้านฐานข้อมูล
    CedarDB เป็นฐานข้อมูลที่ออกแบบมาเพื่อประสิทธิภาพสูงในการ query แบบ real-time
    Vogel วางแผนเพิ่มฟีเจอร์ เช่น power-ups, อาวุธหลายแบบ, AI ฝ่ายตรงข้าม และระบบ sprite ที่ดีขึ้น

    https://www.tomshardware.com/video-games/retro-gaming/doom-multiplayer-tribute-gets-coded-in-pure-sql-and-runs-at-30fps-made-from-just-150-lines-of-code-in-less-than-a-month
    🧠 “DOOMQL: เกมยิงแบบมัลติเพลเยอร์ที่เขียนด้วย SQL ล้วน ๆ — เมื่อฐานข้อมูลกลายเป็นเครื่องมือสร้างโลก 3D” ใครจะคิดว่า SQL ซึ่งเป็นภาษาที่ใช้จัดการฐานข้อมูล จะสามารถใช้สร้างเกมยิงแบบ DOOM ได้ ล่าสุด Lukas Vogel นักวิทยาศาสตร์คอมพิวเตอร์และผู้ร่วมก่อตั้ง CedarDB ได้สร้างเกมชื่อ “DOOMQL” ซึ่งเป็นเกมยิงแบบมัลติเพลเยอร์ที่เขียนด้วย “pure SQL” ทั้งหมด โดยใช้เวลาเพียงหนึ่งเดือนระหว่างการลาคลอด DOOMQL ใช้เพียง ~150 บรรทัดของ Python สำหรับ client ที่รับอินพุตจากผู้เล่นและแสดงภาพ ส่วนการประมวลผลทั้งหมด — ตั้งแต่การเรนเดอร์ภาพ 3D, การเคลื่อนไหวของศัตรู, ไปจนถึงการจัดการสถานะเกม — ถูกเขียนด้วย SQL ล้วน ๆ บนฐานข้อมูล CedarDB ซึ่งทำให้เกมสามารถรันได้ที่ 30 FPS บนความละเอียด 128x64 พิกเซล ความพิเศษของ DOOMQL คือการใช้ฐานข้อมูลเป็น “เซิร์ฟเวอร์เกม” โดยอาศัยคุณสมบัติของ SQL เช่น transaction isolation เพื่อให้ผู้เล่นแต่ละคนเห็นสถานะเกมที่สอดคล้องกัน แม้จะมีผู้เล่นหลายคนพร้อมกัน และยังสามารถ “โกง” ได้โดยการส่งคำสั่ง SQL ตรงเข้าไปในฐานข้อมูล Vogel ได้แรงบันดาลใจจากโปรเจกต์ DuckDB-DOOM ซึ่งใช้ SQL ร่วมกับ JavaScript ในการเรนเดอร์ภาพ แต่เขามองว่าการใช้ JavaScript เป็น “การโกง” และต้องการพิสูจน์ว่า SQL เพียงอย่างเดียวก็สามารถสร้างเกมได้จริง แม้จะยอมรับว่า “มันอาจจะเป็นไอเดียที่แย่” ในแง่ของการดูแลรักษาและดีบัก ✅ จุดเด่นของ DOOMQL ➡️ เกมยิงแบบมัลติเพลเยอร์ที่เขียนด้วย SQL ล้วน ๆ — ไม่มี JavaScript หรือ engine ภายนอก ➡️ ใช้ CedarDB เป็นฐานข้อมูลหลักในการจัดการสถานะและเรนเดอร์ภาพ ➡️ รันที่ 30 FPS บนความละเอียด 128x64 พิกเซล — เร็วกว่ารุ่น DuckDB ที่รันได้เพียง 8 FPS ➡️ ใช้เพียง ~150 บรรทัดของ Python สำหรับ client ที่รับอินพุตและแสดงภาพ ✅ สถาปัตยกรรมของเกม ➡️ ข้อมูลทั้งหมดอยู่ในตาราง SQL เช่น map, players, mobs, inputs, sprites ➡️ การเรนเดอร์ใช้ stack ของ SQL views ที่ทำ raycasting และ sprite projection ➡️ game loop เป็น shell script ที่รัน SQL file ประมาณ 30 ครั้งต่อวินาที ➡️ ผู้เล่นสามารถส่งคำสั่ง SQL เพื่อเปลี่ยนสถานะเกมหรือ “โกง” ได้โดยตรง ✅ ข้อมูลเสริมจากภายนอก ➡️ DuckDB-DOOM เป็นโปรเจกต์ก่อนหน้า ที่ใช้ SQL ร่วมกับ JavaScript และ WebAssembly ➡️ DOOMQL ได้รับความสนใจจากนักพัฒนาและนักวิจัยด้านฐานข้อมูล ➡️ CedarDB เป็นฐานข้อมูลที่ออกแบบมาเพื่อประสิทธิภาพสูงในการ query แบบ real-time ➡️ Vogel วางแผนเพิ่มฟีเจอร์ เช่น power-ups, อาวุธหลายแบบ, AI ฝ่ายตรงข้าม และระบบ sprite ที่ดีขึ้น https://www.tomshardware.com/video-games/retro-gaming/doom-multiplayer-tribute-gets-coded-in-pure-sql-and-runs-at-30fps-made-from-just-150-lines-of-code-in-less-than-a-month
    0 ความคิดเห็น 0 การแบ่งปัน 192 มุมมอง 0 รีวิว
  • “จีนควรเลิกใช้ GPU จากสหรัฐฯ — ผู้เชี่ยวชาญเตือนโมเดลพัฒนา AI ปัจจุบันอาจ ‘อันตรายถึงชีวิต’ หากไม่เปลี่ยนแนวทาง”

    Wei Shaojun รองประธานสมาคมอุตสาหกรรมเซมิคอนดักเตอร์จีน และที่ปรึกษาระดับสูงของรัฐบาลจีน ได้ออกมาเรียกร้องให้จีนและประเทศในเอเชียหยุดพึ่งพา GPU จาก Nvidia และ AMD ในการพัฒนา AI โดยเฉพาะการฝึกโมเดลภาษาใหญ่ (LLM) เช่น ChatGPT และ DeepSeek ซึ่งเขาเห็นว่าเป็นการเลียนแบบแนวทางของสหรัฐฯ ที่อาจนำไปสู่ความเสี่ยงระยะยาวทั้งด้านเทคโนโลยีและความมั่นคง

    Wei กล่าวในเวทีที่สิงคโปร์ว่า โมเดลการพัฒนา AI แบบอิง GPU นั้น “อาจถึงขั้นอันตราย” หากไม่เปลี่ยนแนวทาง เพราะมันทำให้ประเทศในเอเชียขาดอำนาจในการควบคุมโครงสร้างพื้นฐานของตนเอง และติดกับดักการพึ่งพาเทคโนโลยีจากต่างชาติ โดยเฉพาะในช่วงที่สหรัฐฯ จำกัดการส่งออกชิป AI ประสิทธิภาพสูงไปยังจีนตั้งแต่ปี 2023

    แม้จีนจะยังตามหลังสหรัฐฯ และไต้หวันในด้านการผลิตเซมิคอนดักเตอร์ แต่ Wei ยกตัวอย่าง DeepSeek ซึ่งสามารถพัฒนาโมเดล AI ที่แข่งขันกับ OpenAI ได้โดยไม่ต้องใช้ฮาร์ดแวร์ระดับสูงเป็นหลักฐานว่า “อัลกอริธึมที่ดี” สำคัญกว่าฮาร์ดแวร์ล้ำสมัย

    เขาเสนอให้จีนพัฒนาโปรเซสเซอร์เฉพาะทางสำหรับการฝึกโมเดล AI แทนการใช้ GPU ที่เดิมออกแบบมาเพื่อกราฟิก พร้อมย้ำว่าจีนมีเงินทุนและความมุ่งมั่นเพียงพอที่จะสร้างระบบนิเวศด้านเซมิคอนดักเตอร์ของตนเอง แม้จะเผชิญแรงกดดันจากการควบคุมการส่งออกของสหรัฐฯ มาหลายปี

    ข้อมูลสำคัญจากคำแถลงของ Wei Shaojun
    เรียกร้องให้จีนและเอเชียหยุดใช้ GPU จาก Nvidia และ AMD ในการพัฒนา AI
    วิจารณ์ว่าการเลียนแบบแนวทางสหรัฐฯ ทำให้ขาดอำนาจควบคุมเทคโนโลยี
    เสนอให้พัฒนาโปรเซสเซอร์เฉพาะทางสำหรับ LLM แทน GPU ที่ออกแบบเพื่อกราฟิก
    ยกตัวอย่าง DeepSeek เป็นหลักฐานว่าจีนสามารถพัฒนาอัลกอริธึมได้โดยไม่ต้องใช้ฮาร์ดแวร์ระดับสูง

    สถานการณ์ด้านฮาร์ดแวร์และการส่งออก
    สหรัฐฯ จำกัดการส่งออกชิป AI และ HPC ไปยังจีนตั้งแต่ปี 2023
    Nvidia H20 ถูกลดสเปกเพื่อให้ผ่านข้อจำกัด แต่จีนยังไม่ไว้วางใจ
    จีนมีความคืบหน้าในการผลิตชิป แต่ยังตามหลังสหรัฐฯ และไต้หวันหลายปี
    รัฐบาลจีนผลักดันให้บริษัทในประเทศหลีกเลี่ยงการใช้ GPU จากสหรัฐฯ

    ข้อมูลเสริมจากภายนอก
    Nvidia ครองตลาด AI ด้วย CUDA และ Tensor Core ที่ออกแบบมาเพื่อ deep learning
    GPU ของ Nvidia กลายเป็นมาตรฐานในวงการ AI เพราะประสิทธิภาพสูงและ ecosystem ครบ
    ASIC เฉพาะทางสำหรับ AI ยังไม่แพร่หลาย แต่มีแนวโน้มเติบโตในอนาคต
    DeepSeek และ Meituan เป็นตัวอย่างของบริษัทจีนที่พัฒนาโมเดล AI โดยเน้นอัลกอริธึมมากกว่าฮาร์ดแวร์

    https://www.tomshardware.com/tech-industry/artificial-intelligence/top-china-silicon-figure-calls-on-country-to-stop-using-nvidia-gpus-for-ai-says-current-ai-development-model-could-become-lethal-if-not-addressed
    🇨🇳 “จีนควรเลิกใช้ GPU จากสหรัฐฯ — ผู้เชี่ยวชาญเตือนโมเดลพัฒนา AI ปัจจุบันอาจ ‘อันตรายถึงชีวิต’ หากไม่เปลี่ยนแนวทาง” Wei Shaojun รองประธานสมาคมอุตสาหกรรมเซมิคอนดักเตอร์จีน และที่ปรึกษาระดับสูงของรัฐบาลจีน ได้ออกมาเรียกร้องให้จีนและประเทศในเอเชียหยุดพึ่งพา GPU จาก Nvidia และ AMD ในการพัฒนา AI โดยเฉพาะการฝึกโมเดลภาษาใหญ่ (LLM) เช่น ChatGPT และ DeepSeek ซึ่งเขาเห็นว่าเป็นการเลียนแบบแนวทางของสหรัฐฯ ที่อาจนำไปสู่ความเสี่ยงระยะยาวทั้งด้านเทคโนโลยีและความมั่นคง Wei กล่าวในเวทีที่สิงคโปร์ว่า โมเดลการพัฒนา AI แบบอิง GPU นั้น “อาจถึงขั้นอันตราย” หากไม่เปลี่ยนแนวทาง เพราะมันทำให้ประเทศในเอเชียขาดอำนาจในการควบคุมโครงสร้างพื้นฐานของตนเอง และติดกับดักการพึ่งพาเทคโนโลยีจากต่างชาติ โดยเฉพาะในช่วงที่สหรัฐฯ จำกัดการส่งออกชิป AI ประสิทธิภาพสูงไปยังจีนตั้งแต่ปี 2023 แม้จีนจะยังตามหลังสหรัฐฯ และไต้หวันในด้านการผลิตเซมิคอนดักเตอร์ แต่ Wei ยกตัวอย่าง DeepSeek ซึ่งสามารถพัฒนาโมเดล AI ที่แข่งขันกับ OpenAI ได้โดยไม่ต้องใช้ฮาร์ดแวร์ระดับสูงเป็นหลักฐานว่า “อัลกอริธึมที่ดี” สำคัญกว่าฮาร์ดแวร์ล้ำสมัย เขาเสนอให้จีนพัฒนาโปรเซสเซอร์เฉพาะทางสำหรับการฝึกโมเดล AI แทนการใช้ GPU ที่เดิมออกแบบมาเพื่อกราฟิก พร้อมย้ำว่าจีนมีเงินทุนและความมุ่งมั่นเพียงพอที่จะสร้างระบบนิเวศด้านเซมิคอนดักเตอร์ของตนเอง แม้จะเผชิญแรงกดดันจากการควบคุมการส่งออกของสหรัฐฯ มาหลายปี ✅ ข้อมูลสำคัญจากคำแถลงของ Wei Shaojun ➡️ เรียกร้องให้จีนและเอเชียหยุดใช้ GPU จาก Nvidia และ AMD ในการพัฒนา AI ➡️ วิจารณ์ว่าการเลียนแบบแนวทางสหรัฐฯ ทำให้ขาดอำนาจควบคุมเทคโนโลยี ➡️ เสนอให้พัฒนาโปรเซสเซอร์เฉพาะทางสำหรับ LLM แทน GPU ที่ออกแบบเพื่อกราฟิก ➡️ ยกตัวอย่าง DeepSeek เป็นหลักฐานว่าจีนสามารถพัฒนาอัลกอริธึมได้โดยไม่ต้องใช้ฮาร์ดแวร์ระดับสูง ✅ สถานการณ์ด้านฮาร์ดแวร์และการส่งออก ➡️ สหรัฐฯ จำกัดการส่งออกชิป AI และ HPC ไปยังจีนตั้งแต่ปี 2023 ➡️ Nvidia H20 ถูกลดสเปกเพื่อให้ผ่านข้อจำกัด แต่จีนยังไม่ไว้วางใจ ➡️ จีนมีความคืบหน้าในการผลิตชิป แต่ยังตามหลังสหรัฐฯ และไต้หวันหลายปี ➡️ รัฐบาลจีนผลักดันให้บริษัทในประเทศหลีกเลี่ยงการใช้ GPU จากสหรัฐฯ ✅ ข้อมูลเสริมจากภายนอก ➡️ Nvidia ครองตลาด AI ด้วย CUDA และ Tensor Core ที่ออกแบบมาเพื่อ deep learning ➡️ GPU ของ Nvidia กลายเป็นมาตรฐานในวงการ AI เพราะประสิทธิภาพสูงและ ecosystem ครบ ➡️ ASIC เฉพาะทางสำหรับ AI ยังไม่แพร่หลาย แต่มีแนวโน้มเติบโตในอนาคต ➡️ DeepSeek และ Meituan เป็นตัวอย่างของบริษัทจีนที่พัฒนาโมเดล AI โดยเน้นอัลกอริธึมมากกว่าฮาร์ดแวร์ https://www.tomshardware.com/tech-industry/artificial-intelligence/top-china-silicon-figure-calls-on-country-to-stop-using-nvidia-gpus-for-ai-says-current-ai-development-model-could-become-lethal-if-not-addressed
    0 ความคิดเห็น 0 การแบ่งปัน 207 มุมมอง 0 รีวิว
  • “Windows 11 25H2 มาแล้ว — อัปเดตแบบ ‘เปิดสวิตช์’ พร้อม ISO สำหรับนักทดสอบ แต่ยังไม่มีอะไรใหม่ให้ตื่นเต้น”

    Microsoft ปล่อยไฟล์ ISO สำหรับ Windows 11 เวอร์ชัน 25H2 แล้วในวันที่ 10 กันยายน 2025 หลังจากมีความล่าช้าเล็กน้อย โดยเปิดให้ดาวน์โหลดผ่านหน้า Windows Insider สำหรับผู้ที่ต้องการติดตั้งแบบ clean install หรืออัปเกรดด้วยตนเองก่อนการเปิดตัวอย่างเป็นทางการในช่วงปลายปีนี้

    สิ่งที่น่าสนใจคือ Windows 11 25H2 ไม่ใช่การอัปเดตแบบเปลี่ยนระบบทั้งหมด แต่เป็น “enablement package” หรือการเปิดใช้งานฟีเจอร์ที่ถูกฝังไว้แล้วในเวอร์ชัน 24H2 โดยไม่ต้องติดตั้งใหม่ทั้งหมด ทำให้การอัปเดตเร็วขึ้น ใช้พื้นที่น้อยลง และไม่กระทบกับระบบหลัก

    แม้จะไม่มีฟีเจอร์ใหม่ที่โดดเด่น แต่มีการเปลี่ยนแปลงบางอย่าง เช่น การลบเครื่องมือเก่าอย่าง PowerShell 2.0 และ WMIC ออกจากระบบ รวมถึงการเปิดให้ผู้ดูแลระบบในองค์กรสามารถถอนการติดตั้งแอปจาก Microsoft Store ได้มากขึ้น เพื่อให้การจัดการเครื่องในองค์กรง่ายขึ้น

    สำหรับผู้ใช้ทั่วไป การอัปเดตนี้อาจไม่รู้สึกถึงความเปลี่ยนแปลงมากนัก เพราะไม่มีการปรับปรุงด้านประสิทธิภาพจากเวอร์ชัน 24H2 และยังไม่มีฟีเจอร์ AI ใหม่ ๆ เพิ่มเข้ามา ยกเว้นในเครื่องที่รองรับ Copilot+ ซึ่งต้องใช้ NPU ที่มีประสิทธิภาพสูงถึง 40 TOPS

    รายละเอียดของ Windows 11 25H2
    ปล่อย ISO สำหรับนักทดสอบเมื่อวันที่ 10 กันยายน 2025
    ใช้รูปแบบ “enablement package” — เปิดฟีเจอร์ที่ฝังไว้ใน 24H2
    อัปเดตเร็วขึ้น ไม่ต้องติดตั้งใหม่ทั้งหมด และใช้พื้นที่น้อย
    Build 26200.5074 อยู่ใน Release Preview Channel

    การเปลี่ยนแปลงในระบบ
    ลบเครื่องมือเก่า เช่น PowerShell 2.0 และ WMIC
    ผู้ดูแลระบบสามารถถอนการติดตั้งแอปจาก Microsoft Store ได้มากขึ้น
    ไม่มีการเปลี่ยนแปลงด้านประสิทธิภาพจากเวอร์ชัน 24H2
    รองรับการอัปเดตแบบ in-place หรือ clean install ผ่าน ISO

    ข้อมูลเสริมจากภายนอก
    ฟีเจอร์ใหม่ถูกฝังไว้ใน 24H2 แล้ว — 25H2 แค่เปิดใช้งาน
    การอัปเดตแบบนี้เคยใช้ใน Windows 10 ระหว่าง 1903 กับ 1909
    เครื่องที่ใช้ Copilot+ จะได้ฟีเจอร์ AI เพิ่มเติม แต่ต้องมี NPU 40+ TOPS
    การอัปเดตนี้จะรีเซ็ตรอบการสนับสนุนใหม่ — 24 เดือนสำหรับทั่วไป, 36 เดือนสำหรับองค์กร

    https://www.tomshardware.com/software/windows/windows-11-25h2-isos-released
    🖥️ “Windows 11 25H2 มาแล้ว — อัปเดตแบบ ‘เปิดสวิตช์’ พร้อม ISO สำหรับนักทดสอบ แต่ยังไม่มีอะไรใหม่ให้ตื่นเต้น” Microsoft ปล่อยไฟล์ ISO สำหรับ Windows 11 เวอร์ชัน 25H2 แล้วในวันที่ 10 กันยายน 2025 หลังจากมีความล่าช้าเล็กน้อย โดยเปิดให้ดาวน์โหลดผ่านหน้า Windows Insider สำหรับผู้ที่ต้องการติดตั้งแบบ clean install หรืออัปเกรดด้วยตนเองก่อนการเปิดตัวอย่างเป็นทางการในช่วงปลายปีนี้ สิ่งที่น่าสนใจคือ Windows 11 25H2 ไม่ใช่การอัปเดตแบบเปลี่ยนระบบทั้งหมด แต่เป็น “enablement package” หรือการเปิดใช้งานฟีเจอร์ที่ถูกฝังไว้แล้วในเวอร์ชัน 24H2 โดยไม่ต้องติดตั้งใหม่ทั้งหมด ทำให้การอัปเดตเร็วขึ้น ใช้พื้นที่น้อยลง และไม่กระทบกับระบบหลัก แม้จะไม่มีฟีเจอร์ใหม่ที่โดดเด่น แต่มีการเปลี่ยนแปลงบางอย่าง เช่น การลบเครื่องมือเก่าอย่าง PowerShell 2.0 และ WMIC ออกจากระบบ รวมถึงการเปิดให้ผู้ดูแลระบบในองค์กรสามารถถอนการติดตั้งแอปจาก Microsoft Store ได้มากขึ้น เพื่อให้การจัดการเครื่องในองค์กรง่ายขึ้น สำหรับผู้ใช้ทั่วไป การอัปเดตนี้อาจไม่รู้สึกถึงความเปลี่ยนแปลงมากนัก เพราะไม่มีการปรับปรุงด้านประสิทธิภาพจากเวอร์ชัน 24H2 และยังไม่มีฟีเจอร์ AI ใหม่ ๆ เพิ่มเข้ามา ยกเว้นในเครื่องที่รองรับ Copilot+ ซึ่งต้องใช้ NPU ที่มีประสิทธิภาพสูงถึง 40 TOPS ✅ รายละเอียดของ Windows 11 25H2 ➡️ ปล่อย ISO สำหรับนักทดสอบเมื่อวันที่ 10 กันยายน 2025 ➡️ ใช้รูปแบบ “enablement package” — เปิดฟีเจอร์ที่ฝังไว้ใน 24H2 ➡️ อัปเดตเร็วขึ้น ไม่ต้องติดตั้งใหม่ทั้งหมด และใช้พื้นที่น้อย ➡️ Build 26200.5074 อยู่ใน Release Preview Channel ✅ การเปลี่ยนแปลงในระบบ ➡️ ลบเครื่องมือเก่า เช่น PowerShell 2.0 และ WMIC ➡️ ผู้ดูแลระบบสามารถถอนการติดตั้งแอปจาก Microsoft Store ได้มากขึ้น ➡️ ไม่มีการเปลี่ยนแปลงด้านประสิทธิภาพจากเวอร์ชัน 24H2 ➡️ รองรับการอัปเดตแบบ in-place หรือ clean install ผ่าน ISO ✅ ข้อมูลเสริมจากภายนอก ➡️ ฟีเจอร์ใหม่ถูกฝังไว้ใน 24H2 แล้ว — 25H2 แค่เปิดใช้งาน ➡️ การอัปเดตแบบนี้เคยใช้ใน Windows 10 ระหว่าง 1903 กับ 1909 ➡️ เครื่องที่ใช้ Copilot+ จะได้ฟีเจอร์ AI เพิ่มเติม แต่ต้องมี NPU 40+ TOPS ➡️ การอัปเดตนี้จะรีเซ็ตรอบการสนับสนุนใหม่ — 24 เดือนสำหรับทั่วไป, 36 เดือนสำหรับองค์กร https://www.tomshardware.com/software/windows/windows-11-25h2-isos-released
    WWW.TOMSHARDWARE.COM
    Windows 11 25H2 ISOs released after delays — upgrade switches on some features, now available for insiders
    Microsoft's fall update is now just a download away, but support won’t be available until general availability later this year.
    0 ความคิดเห็น 0 การแบ่งปัน 175 มุมมอง 0 รีวิว
  • “เปลี่ยน RTX 4090 เป็นการ์ด AI 48GB ด้วยชุดอัปเกรด $142 — เมื่อโรงงานจีนสร้าง GPU ระดับดาต้าเซ็นเตอร์จากเกมมิ่งแฟลกชิป”

    ในยุคที่การประมวลผล AI ต้องการหน่วยความจำมหาศาล การ์ดจอเกมมิ่งระดับสูงอย่าง RTX 4090 ก็ถูกนำมาดัดแปลงให้กลายเป็น GPU สำหรับงาน AI โดยเฉพาะในจีนที่มีข้อจำกัดด้านการนำเข้าอุปกรณ์ AI จากสหรัฐฯ โรงงานหลายแห่งจึงเริ่ม “แปลงร่าง” RTX 4090 ให้กลายเป็นการ์ด 48GB ที่สามารถรันโมเดลขนาดใหญ่ได้อย่างลื่นไหล

    เทคนิคนี้เริ่มจากการใช้ชุดอัปเกรดที่ขายในจีนในราคาเพียง $142 ซึ่งประกอบด้วย PCB แบบ clamshell ที่รองรับการติดตั้งชิปหน่วยความจำทั้งสองด้าน (เหมือนกับ RTX 3090) และระบบระบายความร้อนแบบ blower-style ที่เหมาะกับเซิร์ฟเวอร์ GPU หลายตัว

    ช่างเทคนิคชื่อ VIK-on ได้สาธิตการถอดชิป AD102 และ GDDR6X ขนาด 2GB จำนวน 12 ตัวจากการ์ด MSI RTX 4090 Suprim แล้วนำไปติดตั้งบน PCB ใหม่ พร้อมอัปโหลดเฟิร์มแวร์ที่ถูกดัดแปลงให้รองรับหน่วยความจำ 48GB โดยใช้เครื่องมือภายในของ NVIDIA ที่หลุดออกมา เช่น MATS และ Mods

    แม้จะต้องใช้ทักษะการบัดกรีระดับสูงและอุปกรณ์เฉพาะทาง แต่ผลลัพธ์คือการ์ด RTX 4090 ที่สามารถรันโมเดล LLM ขนาด 70B ได้อย่างเสถียร โดยไม่ต้องพึ่งการ์ดระดับดาต้าเซ็นเตอร์ที่มีราคาหลายพันดอลลาร์

    การ์ดที่ถูกดัดแปลงนี้ยังสามารถใช้งานกับไดรเวอร์ NVIDIA ได้ตามปกติ และมีการทดสอบความร้อนและประสิทธิภาพผ่าน Furmark, 3DMark และแอปพลิเคชัน AI เพื่อยืนยันว่าใช้งานได้จริง

    วิธีการอัปเกรด RTX 4090 เป็น 48GB
    ใช้ชุดอัปเกรด $142 ที่มี PCB แบบ clamshell และระบบระบายความร้อน blower-style
    ถอดชิป AD102 และ GDDR6X จากการ์ดเดิมแล้วติดตั้งบน PCB ใหม่
    ใช้เฟิร์มแวร์ดัดแปลงเพื่อให้ระบบรู้จักหน่วยความจำ 48GB
    ใช้ชิปหน่วยความจำจากการ์ดเสียเพื่อลดต้นทุน

    ประสิทธิภาพและการใช้งาน
    สามารถรันโมเดล LLM ขนาด 70B ได้อย่างลื่นไหล
    ใช้งานกับไดรเวอร์ NVIDIA ได้โดยไม่ต้องแก้ไขเพิ่มเติม
    ระบบระบายความร้อน blower-style เหมาะกับเซิร์ฟเวอร์หลาย GPU
    ทดสอบผ่าน Furmark, 3DMark และแอป AI เพื่อยืนยันความเสถียร

    ข้อมูลเสริมจากภายนอก
    การ์ด RTX 4090 48GB ขายในจีนราว $3,320 — ถูกกว่าดาต้าเซ็นเตอร์ GPU ถึง 39%
    GPU AD102 มีประสิทธิภาพสูงในงาน AI และ deep learning
    PCB แบบ clamshell เคยใช้ใน RTX 3090 เพื่อรองรับหน่วยความจำสองด้าน
    เฟิร์มแวร์ NVIDIA มีระบบตรวจสอบ device ID เพื่อป้องกันการดัดแปลง

    https://www.tomshardware.com/pc-components/gpus/usd142-upgrade-kit-and-spare-modules-turn-nvidia-rtx-4090-24gb-to-48gb-ai-card-technician-explains-how-chinese-factories-turn-gaming-flagships-into-highly-desirable-ai-gpus
    🧠 “เปลี่ยน RTX 4090 เป็นการ์ด AI 48GB ด้วยชุดอัปเกรด $142 — เมื่อโรงงานจีนสร้าง GPU ระดับดาต้าเซ็นเตอร์จากเกมมิ่งแฟลกชิป” ในยุคที่การประมวลผล AI ต้องการหน่วยความจำมหาศาล การ์ดจอเกมมิ่งระดับสูงอย่าง RTX 4090 ก็ถูกนำมาดัดแปลงให้กลายเป็น GPU สำหรับงาน AI โดยเฉพาะในจีนที่มีข้อจำกัดด้านการนำเข้าอุปกรณ์ AI จากสหรัฐฯ โรงงานหลายแห่งจึงเริ่ม “แปลงร่าง” RTX 4090 ให้กลายเป็นการ์ด 48GB ที่สามารถรันโมเดลขนาดใหญ่ได้อย่างลื่นไหล เทคนิคนี้เริ่มจากการใช้ชุดอัปเกรดที่ขายในจีนในราคาเพียง $142 ซึ่งประกอบด้วย PCB แบบ clamshell ที่รองรับการติดตั้งชิปหน่วยความจำทั้งสองด้าน (เหมือนกับ RTX 3090) และระบบระบายความร้อนแบบ blower-style ที่เหมาะกับเซิร์ฟเวอร์ GPU หลายตัว ช่างเทคนิคชื่อ VIK-on ได้สาธิตการถอดชิป AD102 และ GDDR6X ขนาด 2GB จำนวน 12 ตัวจากการ์ด MSI RTX 4090 Suprim แล้วนำไปติดตั้งบน PCB ใหม่ พร้อมอัปโหลดเฟิร์มแวร์ที่ถูกดัดแปลงให้รองรับหน่วยความจำ 48GB โดยใช้เครื่องมือภายในของ NVIDIA ที่หลุดออกมา เช่น MATS และ Mods แม้จะต้องใช้ทักษะการบัดกรีระดับสูงและอุปกรณ์เฉพาะทาง แต่ผลลัพธ์คือการ์ด RTX 4090 ที่สามารถรันโมเดล LLM ขนาด 70B ได้อย่างเสถียร โดยไม่ต้องพึ่งการ์ดระดับดาต้าเซ็นเตอร์ที่มีราคาหลายพันดอลลาร์ การ์ดที่ถูกดัดแปลงนี้ยังสามารถใช้งานกับไดรเวอร์ NVIDIA ได้ตามปกติ และมีการทดสอบความร้อนและประสิทธิภาพผ่าน Furmark, 3DMark และแอปพลิเคชัน AI เพื่อยืนยันว่าใช้งานได้จริง ✅ วิธีการอัปเกรด RTX 4090 เป็น 48GB ➡️ ใช้ชุดอัปเกรด $142 ที่มี PCB แบบ clamshell และระบบระบายความร้อน blower-style ➡️ ถอดชิป AD102 และ GDDR6X จากการ์ดเดิมแล้วติดตั้งบน PCB ใหม่ ➡️ ใช้เฟิร์มแวร์ดัดแปลงเพื่อให้ระบบรู้จักหน่วยความจำ 48GB ➡️ ใช้ชิปหน่วยความจำจากการ์ดเสียเพื่อลดต้นทุน ✅ ประสิทธิภาพและการใช้งาน ➡️ สามารถรันโมเดล LLM ขนาด 70B ได้อย่างลื่นไหล ➡️ ใช้งานกับไดรเวอร์ NVIDIA ได้โดยไม่ต้องแก้ไขเพิ่มเติม ➡️ ระบบระบายความร้อน blower-style เหมาะกับเซิร์ฟเวอร์หลาย GPU ➡️ ทดสอบผ่าน Furmark, 3DMark และแอป AI เพื่อยืนยันความเสถียร ✅ ข้อมูลเสริมจากภายนอก ➡️ การ์ด RTX 4090 48GB ขายในจีนราว $3,320 — ถูกกว่าดาต้าเซ็นเตอร์ GPU ถึง 39% ➡️ GPU AD102 มีประสิทธิภาพสูงในงาน AI และ deep learning ➡️ PCB แบบ clamshell เคยใช้ใน RTX 3090 เพื่อรองรับหน่วยความจำสองด้าน ➡️ เฟิร์มแวร์ NVIDIA มีระบบตรวจสอบ device ID เพื่อป้องกันการดัดแปลง https://www.tomshardware.com/pc-components/gpus/usd142-upgrade-kit-and-spare-modules-turn-nvidia-rtx-4090-24gb-to-48gb-ai-card-technician-explains-how-chinese-factories-turn-gaming-flagships-into-highly-desirable-ai-gpus
    0 ความคิดเห็น 0 การแบ่งปัน 170 มุมมอง 0 รีวิว
  • “NVIDIA Blackwell Ultra GB300 ทำลายสถิติ MLPerf — เร็วขึ้น 45% ใน DeepSeek R1 พร้อมเทคนิคใหม่ที่เปลี่ยนเกม AI inference”

    NVIDIA ประกาศความสำเร็จครั้งใหญ่ในการทดสอบ MLPerf v5.1 โดยชิป Blackwell Ultra GB300 NVL72 rack-scale system สามารถทำความเร็วในการประมวลผล inference ได้สูงกว่ารุ่นก่อนหน้า GB200 ถึง 45% ในโมเดล DeepSeek R1 ซึ่งเป็นหนึ่งในโมเดล AI ขนาดใหญ่ที่ซับซ้อนที่สุดในปัจจุบัน

    ความสำเร็จนี้เกิดจากการผสานระหว่างฮาร์ดแวร์ที่ทรงพลังและการปรับแต่งซอฟต์แวร์อย่างลึกซึ้ง โดย GB300 ใช้ tensor core ที่มีประสิทธิภาพสูงขึ้นถึง 2 เท่าในส่วน attention-layer และเพิ่ม FLOPS ด้าน AI compute อีก 1.5 เท่า พร้อมหน่วยความจำ HBM3e สูงสุด 288GB ต่อ GPU

    ในด้านซอฟต์แวร์ NVIDIA ใช้ฟอร์แมต NVFP4 ซึ่งเป็น floating point แบบ 4-bit ที่ออกแบบมาเฉพาะสำหรับงาน AI reasoning โดยสามารถลดขนาดโมเดลและเพิ่ม throughput ได้โดยไม่เสียความแม่นยำ นอกจากนี้ยังใช้เทคนิคการ “shard” โมเดล Llama 3.1 405B ข้ามหลาย GPU เพื่อเพิ่มประสิทธิภาพโดยไม่เพิ่ม latency

    ระบบ GB300 NVL72 ยังมีแบนด์วิดท์รวมถึง 130 TBps ด้วย NVLink fabric ความเร็ว 1.8 TBps ระหว่าง GPU แต่ละตัว ทำให้สามารถสื่อสารกันได้อย่างรวดเร็วและไม่มีคอขวด

    ทั้งหมดนี้เป็นส่วนหนึ่งของแนวคิด “AI Factory” ที่ NVIDIA ผลักดัน โดยเชื่อว่าการเพิ่ม throughput ในการประมวลผล AI จะช่วยเพิ่มรายได้ ลดต้นทุน และทำให้ระบบมีประสิทธิภาพสูงสุดในยุคที่ข้อมูลกลายเป็นสินทรัพย์หลัก

    ความสามารถของ Blackwell Ultra GB300
    เพิ่มความเร็ว inference ใน DeepSeek R1 ได้ถึง 45% เมื่อเทียบกับ GB200
    เร็วกว่า Hopper GPU รุ่นก่อนหน้าถึง 5 เท่า
    ใช้ tensor core ที่มี 2X attention-layer acceleration และ 1.5X AI compute FLOPS
    หน่วยความจำ HBM3e สูงสุด 288GB ต่อ GPU

    เทคนิคซอฟต์แวร์ที่ใช้
    ใช้ NVFP4 format เพื่อลดขนาดโมเดลและเพิ่ม throughput
    ใช้ TensorRT Model Optimizer และ TensorRT-LLM library เพื่อปรับแต่งโมเดล
    shard โมเดล Llama 3.1 405B ข้ามหลาย GPU เพื่อเพิ่มประสิทธิภาพ
    ใช้ NVLink fabric ความเร็ว 1.8 TBps ระหว่าง GPU รวมเป็น 130 TBps

    ผลการทดสอบ MLPerf v5.1
    GB300 NVL72 ทำลายสถิติใน DeepSeek R1, Llama 3.1 405B, Llama 3.1 8B และ Whisper
    เพิ่ม throughput ต่อ GPU ได้เกือบ 50% ด้วยเทคนิค disaggregated serving
    ลด latency และเพิ่มประสิทธิภาพในงาน interactive AI
    เหมาะกับการใช้งานในระบบ AI Factory ที่ต้องการประมวลผลจำนวนมาก

    ข้อมูลเสริมจากภายนอก
    DeepSeek R1 เป็นโมเดล MoE ขนาด 671B parameter ที่ต้องใช้ compute สูงมาก
    Whisper กลายเป็นโมเดลแปลงเสียงยอดนิยมบน HuggingFace ด้วยยอดดาวน์โหลดเกือบ 5 ล้านครั้ง
    Llama 3.1 405B มีความต้องการด้าน latency และ throughput สูงกว่ารุ่นก่อน
    Hopper GPU เริ่มล้าสมัยเมื่อเทียบกับ Blackwell Ultra ในงาน inference

    https://www.tomshardware.com/pc-components/gpus/nvidia-claims-software-and-hardware-upgrades-allow-blackwell-ultra-gb300-to-dominate-mlperf-benchmarks-touts-45-percent-deepseek-r-1-inference-throughput-increase-over-gb200
    🚀 “NVIDIA Blackwell Ultra GB300 ทำลายสถิติ MLPerf — เร็วขึ้น 45% ใน DeepSeek R1 พร้อมเทคนิคใหม่ที่เปลี่ยนเกม AI inference” NVIDIA ประกาศความสำเร็จครั้งใหญ่ในการทดสอบ MLPerf v5.1 โดยชิป Blackwell Ultra GB300 NVL72 rack-scale system สามารถทำความเร็วในการประมวลผล inference ได้สูงกว่ารุ่นก่อนหน้า GB200 ถึง 45% ในโมเดล DeepSeek R1 ซึ่งเป็นหนึ่งในโมเดล AI ขนาดใหญ่ที่ซับซ้อนที่สุดในปัจจุบัน ความสำเร็จนี้เกิดจากการผสานระหว่างฮาร์ดแวร์ที่ทรงพลังและการปรับแต่งซอฟต์แวร์อย่างลึกซึ้ง โดย GB300 ใช้ tensor core ที่มีประสิทธิภาพสูงขึ้นถึง 2 เท่าในส่วน attention-layer และเพิ่ม FLOPS ด้าน AI compute อีก 1.5 เท่า พร้อมหน่วยความจำ HBM3e สูงสุด 288GB ต่อ GPU ในด้านซอฟต์แวร์ NVIDIA ใช้ฟอร์แมต NVFP4 ซึ่งเป็น floating point แบบ 4-bit ที่ออกแบบมาเฉพาะสำหรับงาน AI reasoning โดยสามารถลดขนาดโมเดลและเพิ่ม throughput ได้โดยไม่เสียความแม่นยำ นอกจากนี้ยังใช้เทคนิคการ “shard” โมเดล Llama 3.1 405B ข้ามหลาย GPU เพื่อเพิ่มประสิทธิภาพโดยไม่เพิ่ม latency ระบบ GB300 NVL72 ยังมีแบนด์วิดท์รวมถึง 130 TBps ด้วย NVLink fabric ความเร็ว 1.8 TBps ระหว่าง GPU แต่ละตัว ทำให้สามารถสื่อสารกันได้อย่างรวดเร็วและไม่มีคอขวด ทั้งหมดนี้เป็นส่วนหนึ่งของแนวคิด “AI Factory” ที่ NVIDIA ผลักดัน โดยเชื่อว่าการเพิ่ม throughput ในการประมวลผล AI จะช่วยเพิ่มรายได้ ลดต้นทุน และทำให้ระบบมีประสิทธิภาพสูงสุดในยุคที่ข้อมูลกลายเป็นสินทรัพย์หลัก ✅ ความสามารถของ Blackwell Ultra GB300 ➡️ เพิ่มความเร็ว inference ใน DeepSeek R1 ได้ถึง 45% เมื่อเทียบกับ GB200 ➡️ เร็วกว่า Hopper GPU รุ่นก่อนหน้าถึง 5 เท่า ➡️ ใช้ tensor core ที่มี 2X attention-layer acceleration และ 1.5X AI compute FLOPS ➡️ หน่วยความจำ HBM3e สูงสุด 288GB ต่อ GPU ✅ เทคนิคซอฟต์แวร์ที่ใช้ ➡️ ใช้ NVFP4 format เพื่อลดขนาดโมเดลและเพิ่ม throughput ➡️ ใช้ TensorRT Model Optimizer และ TensorRT-LLM library เพื่อปรับแต่งโมเดล ➡️ shard โมเดล Llama 3.1 405B ข้ามหลาย GPU เพื่อเพิ่มประสิทธิภาพ ➡️ ใช้ NVLink fabric ความเร็ว 1.8 TBps ระหว่าง GPU รวมเป็น 130 TBps ✅ ผลการทดสอบ MLPerf v5.1 ➡️ GB300 NVL72 ทำลายสถิติใน DeepSeek R1, Llama 3.1 405B, Llama 3.1 8B และ Whisper ➡️ เพิ่ม throughput ต่อ GPU ได้เกือบ 50% ด้วยเทคนิค disaggregated serving ➡️ ลด latency และเพิ่มประสิทธิภาพในงาน interactive AI ➡️ เหมาะกับการใช้งานในระบบ AI Factory ที่ต้องการประมวลผลจำนวนมาก ✅ ข้อมูลเสริมจากภายนอก ➡️ DeepSeek R1 เป็นโมเดล MoE ขนาด 671B parameter ที่ต้องใช้ compute สูงมาก ➡️ Whisper กลายเป็นโมเดลแปลงเสียงยอดนิยมบน HuggingFace ด้วยยอดดาวน์โหลดเกือบ 5 ล้านครั้ง ➡️ Llama 3.1 405B มีความต้องการด้าน latency และ throughput สูงกว่ารุ่นก่อน ➡️ Hopper GPU เริ่มล้าสมัยเมื่อเทียบกับ Blackwell Ultra ในงาน inference https://www.tomshardware.com/pc-components/gpus/nvidia-claims-software-and-hardware-upgrades-allow-blackwell-ultra-gb300-to-dominate-mlperf-benchmarks-touts-45-percent-deepseek-r-1-inference-throughput-increase-over-gb200
    0 ความคิดเห็น 0 การแบ่งปัน 152 มุมมอง 0 รีวิว
  • “Apple เปิดตัวชิป A19 และ A19 Pro สำหรับ iPhone 17 และ iPhone Air — เร็วขึ้น ฉลาดขึ้น เย็นขึ้น พร้อม AI แบบ MacBook ในมือถือ!”

    Apple เปิดตัว iPhone รุ่นใหม่ 4 รุ่น ได้แก่ iPhone 17, iPhone Air, iPhone 17 Pro และ iPhone 17 Pro Max พร้อมชิปใหม่ล่าสุด A19 และ A19 Pro ที่ถูกออกแบบมาเพื่อรองรับยุคของ Apple Intelligence และการประมวลผล AI บนอุปกรณ์โดยตรง

    ชิป A19 ใช้ใน iPhone 17 รุ่นพื้นฐาน มี CPU แบบ 6-core (2 performance + 4 efficiency) และ GPU 5-core รองรับ ray tracing, mesh shading และ MetalFX upscaling ส่วน A19 Pro ใช้ใน iPhone Air และรุ่น Pro โดยมี GPU 5-core สำหรับ Air และ 6-core สำหรับรุ่น Pro พร้อม Neural Accelerators ในแต่ละ core เพื่อเร่งการประมวลผล AI แบบ on-device

    A19 Pro ยังมีการปรับปรุงสถาปัตยกรรม CPU เช่น branch prediction ที่แม่นยำขึ้น, front-end bandwidth ที่กว้างขึ้น และ cache ขนาดใหญ่ขึ้นถึง 50% ใน efficiency core ทำให้สามารถรักษาประสิทธิภาพได้สูงขึ้นถึง 40% เมื่อเทียบกับ A18 Pro รุ่นก่อนหน้า

    iPhone 17 Pro และ Pro Max ยังมาพร้อมระบบระบายความร้อนแบบ vapor chamber ที่ใช้ดีไอออนไนซ์วอเตอร์ในโครงสร้าง unibody อะลูมิเนียม ซึ่ง Apple เคลมว่าระบายความร้อนได้ดีกว่าไทเทเนียมถึง 20 เท่า — ช่วยให้ชิปทำงานเต็มประสิทธิภาพโดยไม่ร้อนเกินไป

    นอกจากนี้ Apple ยังเปิดตัวชิป N1 สำหรับการเชื่อมต่อไร้สาย รองรับ Wi-Fi 7, Bluetooth 6 และ Thread รวมถึงโมเด็ม C1X ที่เร็วขึ้น 2 เท่าแต่ยังไม่รองรับ mmWave โดย iPhone 17 และ Pro รุ่นใหม่ยังใช้โมเด็ม Qualcomm เพื่อรองรับ 5G แบบเต็มรูปแบบ

    ชิป A19 และ A19 Pro
    A19: CPU 6-core (2P + 4E), GPU 5-core, รองรับ ray tracing และ MetalFX
    A19 Pro: GPU 5-core (Air) / 6-core (Pro), มี Neural Accelerators ในแต่ละ core
    ปรับปรุง branch prediction, bandwidth และ cache ใน efficiency core
    ประสิทธิภาพสูงขึ้น 40% เมื่อเทียบกับ A18 Pro

    ระบบระบายความร้อนใหม่
    ใช้ vapor chamber filled with deionized water
    โครงสร้าง unibody อะลูมิเนียม ระบายความร้อนได้ดีกว่าไทเทเนียม 20 เท่า
    ช่วยให้ iPhone Pro รุ่นใหม่รักษาความเร็วได้ต่อเนื่อง

    ชิปเชื่อมต่อ N1 และโมเด็ม C1X
    N1 รองรับ Wi-Fi 7, Bluetooth 6 และ Thread
    เพิ่มประสิทธิภาพ AirDrop และ Personal Hotspot
    C1X เร็วขึ้น 2 เท่า แต่ไม่รองรับ mmWave
    iPhone 17 และ Pro ยังใช้โมเด็ม Qualcomm สำหรับ 5G เต็มรูปแบบ

    การใช้งาน AI บนอุปกรณ์
    Neural Accelerators ช่วยให้ประมวลผล Apple Intelligence ได้เร็วขึ้น
    รองรับฟีเจอร์ใหม่ใน iOS 26 เช่น การแปลภาษา, การจัดการข้อความ, และการวิเคราะห์ภาพ
    iPhone Air มีประสิทธิภาพระดับ MacBook Pro ในขนาดมือถือ

    ราคาและการวางจำหน่าย
    iPhone 17 เริ่มต้นที่ $799, iPhone Air เริ่มต้นที่ $999
    iPhone 17 Pro และ Pro Max เริ่มต้นที่ $1,099 และ $1,199
    เปิดพรีออเดอร์วันที่ 12 กันยายน และวางขายวันที่ 19 กันยายน
    รุ่น Pro Max มีตัวเลือกความจุสูงสุดถึง 2TB

    https://www.tomshardware.com/tech-industry/semiconductors/apple-debuts-a19-and-a19-pro-processors-for-iphone-17-iphone-air-and-iphone-17-pro
    📱 “Apple เปิดตัวชิป A19 และ A19 Pro สำหรับ iPhone 17 และ iPhone Air — เร็วขึ้น ฉลาดขึ้น เย็นขึ้น พร้อม AI แบบ MacBook ในมือถือ!” Apple เปิดตัว iPhone รุ่นใหม่ 4 รุ่น ได้แก่ iPhone 17, iPhone Air, iPhone 17 Pro และ iPhone 17 Pro Max พร้อมชิปใหม่ล่าสุด A19 และ A19 Pro ที่ถูกออกแบบมาเพื่อรองรับยุคของ Apple Intelligence และการประมวลผล AI บนอุปกรณ์โดยตรง ชิป A19 ใช้ใน iPhone 17 รุ่นพื้นฐาน มี CPU แบบ 6-core (2 performance + 4 efficiency) และ GPU 5-core รองรับ ray tracing, mesh shading และ MetalFX upscaling ส่วน A19 Pro ใช้ใน iPhone Air และรุ่น Pro โดยมี GPU 5-core สำหรับ Air และ 6-core สำหรับรุ่น Pro พร้อม Neural Accelerators ในแต่ละ core เพื่อเร่งการประมวลผล AI แบบ on-device A19 Pro ยังมีการปรับปรุงสถาปัตยกรรม CPU เช่น branch prediction ที่แม่นยำขึ้น, front-end bandwidth ที่กว้างขึ้น และ cache ขนาดใหญ่ขึ้นถึง 50% ใน efficiency core ทำให้สามารถรักษาประสิทธิภาพได้สูงขึ้นถึง 40% เมื่อเทียบกับ A18 Pro รุ่นก่อนหน้า iPhone 17 Pro และ Pro Max ยังมาพร้อมระบบระบายความร้อนแบบ vapor chamber ที่ใช้ดีไอออนไนซ์วอเตอร์ในโครงสร้าง unibody อะลูมิเนียม ซึ่ง Apple เคลมว่าระบายความร้อนได้ดีกว่าไทเทเนียมถึง 20 เท่า — ช่วยให้ชิปทำงานเต็มประสิทธิภาพโดยไม่ร้อนเกินไป นอกจากนี้ Apple ยังเปิดตัวชิป N1 สำหรับการเชื่อมต่อไร้สาย รองรับ Wi-Fi 7, Bluetooth 6 และ Thread รวมถึงโมเด็ม C1X ที่เร็วขึ้น 2 เท่าแต่ยังไม่รองรับ mmWave โดย iPhone 17 และ Pro รุ่นใหม่ยังใช้โมเด็ม Qualcomm เพื่อรองรับ 5G แบบเต็มรูปแบบ ✅ ชิป A19 และ A19 Pro ➡️ A19: CPU 6-core (2P + 4E), GPU 5-core, รองรับ ray tracing และ MetalFX ➡️ A19 Pro: GPU 5-core (Air) / 6-core (Pro), มี Neural Accelerators ในแต่ละ core ➡️ ปรับปรุง branch prediction, bandwidth และ cache ใน efficiency core ➡️ ประสิทธิภาพสูงขึ้น 40% เมื่อเทียบกับ A18 Pro ✅ ระบบระบายความร้อนใหม่ ➡️ ใช้ vapor chamber filled with deionized water ➡️ โครงสร้าง unibody อะลูมิเนียม ระบายความร้อนได้ดีกว่าไทเทเนียม 20 เท่า ➡️ ช่วยให้ iPhone Pro รุ่นใหม่รักษาความเร็วได้ต่อเนื่อง ✅ ชิปเชื่อมต่อ N1 และโมเด็ม C1X ➡️ N1 รองรับ Wi-Fi 7, Bluetooth 6 และ Thread ➡️ เพิ่มประสิทธิภาพ AirDrop และ Personal Hotspot ➡️ C1X เร็วขึ้น 2 เท่า แต่ไม่รองรับ mmWave ➡️ iPhone 17 และ Pro ยังใช้โมเด็ม Qualcomm สำหรับ 5G เต็มรูปแบบ ✅ การใช้งาน AI บนอุปกรณ์ ➡️ Neural Accelerators ช่วยให้ประมวลผล Apple Intelligence ได้เร็วขึ้น ➡️ รองรับฟีเจอร์ใหม่ใน iOS 26 เช่น การแปลภาษา, การจัดการข้อความ, และการวิเคราะห์ภาพ ➡️ iPhone Air มีประสิทธิภาพระดับ MacBook Pro ในขนาดมือถือ ✅ ราคาและการวางจำหน่าย ➡️ iPhone 17 เริ่มต้นที่ $799, iPhone Air เริ่มต้นที่ $999 ➡️ iPhone 17 Pro และ Pro Max เริ่มต้นที่ $1,099 และ $1,199 ➡️ เปิดพรีออเดอร์วันที่ 12 กันยายน และวางขายวันที่ 19 กันยายน ➡️ รุ่น Pro Max มีตัวเลือกความจุสูงสุดถึง 2TB https://www.tomshardware.com/tech-industry/semiconductors/apple-debuts-a19-and-a19-pro-processors-for-iphone-17-iphone-air-and-iphone-17-pro
    0 ความคิดเห็น 0 การแบ่งปัน 167 มุมมอง 0 รีวิว
  • “เลเซอร์เชื่อมเครื่องบินกับดาวเทียมสำเร็จ! General Atomics และ Kepler สร้างระบบสื่อสารอากาศสู่อวกาศที่เร็วกว่า 1 Gbps — เตรียมพลิกโฉมการสื่อสารทางทหารและพาณิชย์”

    ลองจินตนาการว่าเครื่องบินที่บินอยู่กลางฟ้า สามารถส่งข้อมูลไปยังดาวเทียมในวงโคจรได้ทันที ด้วยความเร็วระดับกิกะบิต — ไม่ใช่ผ่านคลื่นวิทยุแบบเดิม แต่ผ่านลำแสงเลเซอร์ที่แม่นยำและปลอดภัยกว่า นั่นคือสิ่งที่เกิดขึ้นจริงในการทดสอบล่าสุดโดย General Atomics Electromagnetic Systems (GA-EMS) ร่วมกับ Kepler Communications

    การทดสอบนี้ใช้เครื่องบิน De Havilland DHC-6 Twin Otter ที่ติดตั้ง Optical Communication Terminal (OCT) ขนาด 12 นิ้ว ซึ่งยิงเลเซอร์พลังงาน 10 วัตต์ไปยังดาวเทียม Kepler ที่โคจรในระดับต่ำ (LEO) โดยสามารถส่งข้อมูลได้สูงสุดถึง 2.5 Gbps และมีระยะทำการถึง 3,417 ไมล์ แม้ในการทดสอบจริงจะใช้ระยะสั้นกว่านั้น แต่ก็สามารถส่งข้อมูลได้ที่ความเร็ว 1 Gbps อย่างเสถียร

    ความสำเร็จนี้ถือเป็น “ครั้งแรกของโลก” ที่สามารถเชื่อมโยงการสื่อสารแบบสองทางระหว่างเครื่องบินที่เคลื่อนที่กับดาวเทียมในอวกาศได้ผ่านเลเซอร์ โดยระบบสามารถทำงานได้ครบทุกขั้นตอน: การชี้เป้า, การจับสัญญาณ, การติดตาม และการล็อกเป้าหมาย ก่อนจะส่งข้อมูลแบบ uplink และ downlink ได้สำเร็จ

    สิ่งที่น่าสนใจคืออุปกรณ์ OCT นี้ถูกออกแบบให้รองรับมาตรฐานเปิดของ Space Development Agency (SDA) ซึ่งหมายความว่าอุปกรณ์จากผู้ผลิตต่างกันสามารถทำงานร่วมกันได้ — เป็นก้าวสำคัญในการสร้างเครือข่ายสื่อสารแบบกระจายตัวที่ปลอดภัยและมีประสิทธิภาพสูงสำหรับภารกิจทางทหารและเชิงพาณิชย์

    ในอนาคต GA-EMS เตรียมส่ง OCT รุ่นใหม่ขึ้นไปกับยาน GA-75 ในปี 2026 เพื่อทดสอบกับดาวเทียม Tranche-1 ซึ่งจะเป็นการขยายขีดความสามารถของการสื่อสารอากาศสู่อวกาศให้ครอบคลุมมากขึ้น

    ข้อมูลจากการทดสอบเลเซอร์สื่อสารอากาศสู่อวกาศ
    ใช้เครื่องบิน DHC-6 Twin Otter ติดตั้ง Optical Communication Terminal (OCT)
    เชื่อมต่อกับดาวเทียม Kepler ที่โคจรในระดับต่ำ (LEO)
    ใช้เลเซอร์พลังงาน 10 วัตต์ ส่งข้อมูลได้สูงสุด 2.5 Gbps
    ระยะทำการสูงสุด 3,417 ไมล์ (5,500 กม.)
    ความเร็วในการส่งข้อมูลจริงในการทดสอบคือ 1 Gbps

    ความสำเร็จของระบบ OCT
    ทำงานครบทุกขั้นตอน: ชี้เป้า, จับสัญญาณ, ติดตาม, ล็อกเป้าหมาย
    ส่งข้อมูลแบบสองทาง (uplink/downlink) ได้สำเร็จ
    รองรับมาตรฐาน SDA Tranche-0 สำหรับการสื่อสารแบบเปิด
    พิสูจน์ว่าอุปกรณ์จากหลายผู้ผลิตสามารถทำงานร่วมกันได้

    ความร่วมมือระหว่าง GA-EMS และ Kepler
    GA-EMS พัฒนา OCT สำหรับภารกิจทางทหารและพาณิชย์
    Kepler มีดาวเทียมที่รองรับ SDA และเคยทดสอบการสื่อสารกับสถานีภาคพื้น
    การร่วมมือครั้งนี้เป็นก้าวสำคัญในการสร้างเครือข่ายสื่อสารหลายโดเมน

    แผนในอนาคต
    GA-EMS เตรียมส่ง OCT รุ่นใหม่ขึ้นไปกับยาน GA-75 ในปี 2026
    ทดสอบกับดาวเทียม Tranche-1 เพื่อขยายขีดความสามารถ
    SDA มีแผนสร้างเครือข่ายดาวเทียมหลายร้อยดวงในอีกไม่กี่ปีข้างหน้า

    ข้อมูลเสริมจากภายนอก
    NASA เคยทดสอบระบบเลเซอร์ใน Deep Space Optical Communications
    Google เคยพัฒนาโครงการ Taara สำหรับเลเซอร์สื่อสารภาคพื้น
    การสื่อสารด้วยเลเซอร์มีความปลอดภัยสูงและไม่ต้องใช้คลื่นวิทยุ
    เหมาะกับภารกิจที่ต้องการความเร็วและความมั่นคงของข้อมูล เช่น การทหาร, การบิน, และการสำรวจอวกาศ

    https://www.tomshardware.com/networking/worlds-first-laser-communication-link-between-a-plane-and-satellite-ran-at-1-gbps-10-watt-laser-which-has-a-3-417-mile-range-and-2-5-gbps-max-data-rate
    🔴 “เลเซอร์เชื่อมเครื่องบินกับดาวเทียมสำเร็จ! General Atomics และ Kepler สร้างระบบสื่อสารอากาศสู่อวกาศที่เร็วกว่า 1 Gbps — เตรียมพลิกโฉมการสื่อสารทางทหารและพาณิชย์” ลองจินตนาการว่าเครื่องบินที่บินอยู่กลางฟ้า สามารถส่งข้อมูลไปยังดาวเทียมในวงโคจรได้ทันที ด้วยความเร็วระดับกิกะบิต — ไม่ใช่ผ่านคลื่นวิทยุแบบเดิม แต่ผ่านลำแสงเลเซอร์ที่แม่นยำและปลอดภัยกว่า นั่นคือสิ่งที่เกิดขึ้นจริงในการทดสอบล่าสุดโดย General Atomics Electromagnetic Systems (GA-EMS) ร่วมกับ Kepler Communications การทดสอบนี้ใช้เครื่องบิน De Havilland DHC-6 Twin Otter ที่ติดตั้ง Optical Communication Terminal (OCT) ขนาด 12 นิ้ว ซึ่งยิงเลเซอร์พลังงาน 10 วัตต์ไปยังดาวเทียม Kepler ที่โคจรในระดับต่ำ (LEO) โดยสามารถส่งข้อมูลได้สูงสุดถึง 2.5 Gbps และมีระยะทำการถึง 3,417 ไมล์ แม้ในการทดสอบจริงจะใช้ระยะสั้นกว่านั้น แต่ก็สามารถส่งข้อมูลได้ที่ความเร็ว 1 Gbps อย่างเสถียร ความสำเร็จนี้ถือเป็น “ครั้งแรกของโลก” ที่สามารถเชื่อมโยงการสื่อสารแบบสองทางระหว่างเครื่องบินที่เคลื่อนที่กับดาวเทียมในอวกาศได้ผ่านเลเซอร์ โดยระบบสามารถทำงานได้ครบทุกขั้นตอน: การชี้เป้า, การจับสัญญาณ, การติดตาม และการล็อกเป้าหมาย ก่อนจะส่งข้อมูลแบบ uplink และ downlink ได้สำเร็จ สิ่งที่น่าสนใจคืออุปกรณ์ OCT นี้ถูกออกแบบให้รองรับมาตรฐานเปิดของ Space Development Agency (SDA) ซึ่งหมายความว่าอุปกรณ์จากผู้ผลิตต่างกันสามารถทำงานร่วมกันได้ — เป็นก้าวสำคัญในการสร้างเครือข่ายสื่อสารแบบกระจายตัวที่ปลอดภัยและมีประสิทธิภาพสูงสำหรับภารกิจทางทหารและเชิงพาณิชย์ ในอนาคต GA-EMS เตรียมส่ง OCT รุ่นใหม่ขึ้นไปกับยาน GA-75 ในปี 2026 เพื่อทดสอบกับดาวเทียม Tranche-1 ซึ่งจะเป็นการขยายขีดความสามารถของการสื่อสารอากาศสู่อวกาศให้ครอบคลุมมากขึ้น ✅ ข้อมูลจากการทดสอบเลเซอร์สื่อสารอากาศสู่อวกาศ ➡️ ใช้เครื่องบิน DHC-6 Twin Otter ติดตั้ง Optical Communication Terminal (OCT) ➡️ เชื่อมต่อกับดาวเทียม Kepler ที่โคจรในระดับต่ำ (LEO) ➡️ ใช้เลเซอร์พลังงาน 10 วัตต์ ส่งข้อมูลได้สูงสุด 2.5 Gbps ➡️ ระยะทำการสูงสุด 3,417 ไมล์ (5,500 กม.) ➡️ ความเร็วในการส่งข้อมูลจริงในการทดสอบคือ 1 Gbps ✅ ความสำเร็จของระบบ OCT ➡️ ทำงานครบทุกขั้นตอน: ชี้เป้า, จับสัญญาณ, ติดตาม, ล็อกเป้าหมาย ➡️ ส่งข้อมูลแบบสองทาง (uplink/downlink) ได้สำเร็จ ➡️ รองรับมาตรฐาน SDA Tranche-0 สำหรับการสื่อสารแบบเปิด ➡️ พิสูจน์ว่าอุปกรณ์จากหลายผู้ผลิตสามารถทำงานร่วมกันได้ ✅ ความร่วมมือระหว่าง GA-EMS และ Kepler ➡️ GA-EMS พัฒนา OCT สำหรับภารกิจทางทหารและพาณิชย์ ➡️ Kepler มีดาวเทียมที่รองรับ SDA และเคยทดสอบการสื่อสารกับสถานีภาคพื้น ➡️ การร่วมมือครั้งนี้เป็นก้าวสำคัญในการสร้างเครือข่ายสื่อสารหลายโดเมน ✅ แผนในอนาคต ➡️ GA-EMS เตรียมส่ง OCT รุ่นใหม่ขึ้นไปกับยาน GA-75 ในปี 2026 ➡️ ทดสอบกับดาวเทียม Tranche-1 เพื่อขยายขีดความสามารถ ➡️ SDA มีแผนสร้างเครือข่ายดาวเทียมหลายร้อยดวงในอีกไม่กี่ปีข้างหน้า ✅ ข้อมูลเสริมจากภายนอก ➡️ NASA เคยทดสอบระบบเลเซอร์ใน Deep Space Optical Communications ➡️ Google เคยพัฒนาโครงการ Taara สำหรับเลเซอร์สื่อสารภาคพื้น ➡️ การสื่อสารด้วยเลเซอร์มีความปลอดภัยสูงและไม่ต้องใช้คลื่นวิทยุ ➡️ เหมาะกับภารกิจที่ต้องการความเร็วและความมั่นคงของข้อมูล เช่น การทหาร, การบิน, และการสำรวจอวกาศ https://www.tomshardware.com/networking/worlds-first-laser-communication-link-between-a-plane-and-satellite-ran-at-1-gbps-10-watt-laser-which-has-a-3-417-mile-range-and-2-5-gbps-max-data-rate
    0 ความคิดเห็น 0 การแบ่งปัน 151 มุมมอง 0 รีวิว
  • “Mistral AI ระดมทุน 1.7 พันล้านยูโร! ASML เข้าร่วมเป็นผู้ถือหุ้นหลัก พร้อมดันยุโรปสู่เวที AI ระดับโลก”

    ถ้าคุณเคยคิดว่าโลก AI ถูกครอบงำโดยบริษัทจากสหรัฐฯ อย่าง OpenAI หรือ Google — ตอนนี้ยุโรปเริ่มตอบโต้แล้วอย่างจริงจัง เมื่อ Mistral AI สตาร์ทอัพจากฝรั่งเศสประกาศระดมทุนรอบ Series C มูลค่า 1.7 พันล้านยูโร พร้อมการเข้าร่วมลงทุนจาก ASML บริษัทผู้ผลิตอุปกรณ์เซมิคอนดักเตอร์รายใหญ่ของโลก

    ASML ลงทุนถึง 1.3 พันล้านยูโรในรอบนี้ และได้ถือหุ้น 11% ใน Mistral AI พร้อมที่นั่งในคณะกรรมการกลยุทธ์ของบริษัท ความร่วมมือนี้ไม่ใช่แค่เรื่องเงิน แต่เป็นการจับมือกันระหว่างผู้นำด้านฮาร์ดแวร์และซอฟต์แวร์ เพื่อสร้างโซลูชัน AI ที่ตอบโจทย์อุตสาหกรรมเซมิคอนดักเตอร์โดยตรง

    Mistral AI มีเป้าหมายในการพัฒนาโมเดล AI แบบกระจายศูนย์ (decentralized frontier AI) ที่สามารถแก้ปัญหาทางวิศวกรรมและอุตสาหกรรมที่ซับซ้อน เช่น การเพิ่มประสิทธิภาพการผลิตชิป, การวิเคราะห์ข้อมูลในโรงงาน, และการออกแบบระบบอัตโนมัติขั้นสูง

    การลงทุนครั้งนี้ทำให้ Mistral มีมูลค่าบริษัทหลังการระดมทุนอยู่ที่ 11.7 พันล้านยูโร กลายเป็นสตาร์ทอัพ AI ที่มีมูลค่าสูงที่สุดในยุโรป และเป็นคู่แข่งโดยตรงกับบริษัทจากสหรัฐฯ และจีนในสนามของ Generative AI

    นอกจาก ASML ยังมีนักลงทุนรายใหญ่อื่นๆ เข้าร่วม เช่น NVIDIA, Andreessen Horowitz, DST Global, Bpifrance และ Lightspeed ซึ่งสะท้อนถึงความเชื่อมั่นในศักยภาพของ Mistral ที่จะเป็นผู้นำด้าน AI แบบเปิด (open-source) และมีความเป็นอิสระจาก Silicon Valley

    การระดมทุนรอบ Series C ของ Mistral AI
    ระดมทุนได้ 1.7 พันล้านยูโร
    มูลค่าบริษัทหลังการระดมทุนอยู่ที่ 11.7 พันล้านยูโร
    กลายเป็นสตาร์ทอัพ AI ที่มีมูลค่าสูงที่สุดในยุโรป

    การลงทุนจาก ASML
    ASML ลงทุน 1.3 พันล้านยูโร และถือหุ้น 11%
    ได้ที่นั่งในคณะกรรมการกลยุทธ์ของ Mistral AI
    ร่วมมือเพื่อพัฒนาโซลูชัน AI สำหรับอุตสาหกรรมเซมิคอนดักเตอร์
    ตั้งเป้าใช้ AI เพื่อเพิ่มประสิทธิภาพการผลิตและการออกแบบชิป

    เป้าหมายของ Mistral AI
    พัฒนาโมเดล AI แบบกระจายศูนย์ (decentralized frontier AI)
    เน้นการแก้ปัญหาทางวิศวกรรมและอุตสาหกรรมที่ซับซ้อน
    สร้างโครงสร้างพื้นฐาน compute ที่มีประสิทธิภาพสูง
    ส่งมอบโซลูชัน AI แบบปรับแต่งเฉพาะสำหรับองค์กร

    นักลงทุนรายอื่นที่เข้าร่วม
    NVIDIA, DST Global, Andreessen Horowitz, Bpifrance, General Catalyst, Index Ventures, Lightspeed
    สะท้อนความเชื่อมั่นในแนวทาง open-source และความเป็นอิสระของ Mistral
    สนับสนุนการขยายตัวของ AI ยุโรปให้แข่งขันกับสหรัฐฯ และจีน

    ข้อมูลเสริมจากภายนอก
    Mistral เคยระดมทุน Seed มูลค่า $112 ล้านในปี 2023 — ใหญ่ที่สุดในยุโรป
    เปิดตัว Le Chat ในปี 2024 และมีผู้ใช้งานทะลุ 1 ล้านใน 2 สัปดาห์
    ล่าสุดเพิ่มฟีเจอร์ Memories และโหมดวิจัยลึกใน Le Chat
    เป้าหมายคือสร้าง AI ที่เข้าใจหลายภาษาและทำงานได้หลากหลายบริบท

    https://mistral.ai/news/mistral-ai-raises-1-7-b-to-accelerate-technological-progress-with-ai
    🚀 “Mistral AI ระดมทุน 1.7 พันล้านยูโร! ASML เข้าร่วมเป็นผู้ถือหุ้นหลัก พร้อมดันยุโรปสู่เวที AI ระดับโลก” ถ้าคุณเคยคิดว่าโลก AI ถูกครอบงำโดยบริษัทจากสหรัฐฯ อย่าง OpenAI หรือ Google — ตอนนี้ยุโรปเริ่มตอบโต้แล้วอย่างจริงจัง เมื่อ Mistral AI สตาร์ทอัพจากฝรั่งเศสประกาศระดมทุนรอบ Series C มูลค่า 1.7 พันล้านยูโร พร้อมการเข้าร่วมลงทุนจาก ASML บริษัทผู้ผลิตอุปกรณ์เซมิคอนดักเตอร์รายใหญ่ของโลก ASML ลงทุนถึง 1.3 พันล้านยูโรในรอบนี้ และได้ถือหุ้น 11% ใน Mistral AI พร้อมที่นั่งในคณะกรรมการกลยุทธ์ของบริษัท ความร่วมมือนี้ไม่ใช่แค่เรื่องเงิน แต่เป็นการจับมือกันระหว่างผู้นำด้านฮาร์ดแวร์และซอฟต์แวร์ เพื่อสร้างโซลูชัน AI ที่ตอบโจทย์อุตสาหกรรมเซมิคอนดักเตอร์โดยตรง Mistral AI มีเป้าหมายในการพัฒนาโมเดล AI แบบกระจายศูนย์ (decentralized frontier AI) ที่สามารถแก้ปัญหาทางวิศวกรรมและอุตสาหกรรมที่ซับซ้อน เช่น การเพิ่มประสิทธิภาพการผลิตชิป, การวิเคราะห์ข้อมูลในโรงงาน, และการออกแบบระบบอัตโนมัติขั้นสูง การลงทุนครั้งนี้ทำให้ Mistral มีมูลค่าบริษัทหลังการระดมทุนอยู่ที่ 11.7 พันล้านยูโร กลายเป็นสตาร์ทอัพ AI ที่มีมูลค่าสูงที่สุดในยุโรป และเป็นคู่แข่งโดยตรงกับบริษัทจากสหรัฐฯ และจีนในสนามของ Generative AI นอกจาก ASML ยังมีนักลงทุนรายใหญ่อื่นๆ เข้าร่วม เช่น NVIDIA, Andreessen Horowitz, DST Global, Bpifrance และ Lightspeed ซึ่งสะท้อนถึงความเชื่อมั่นในศักยภาพของ Mistral ที่จะเป็นผู้นำด้าน AI แบบเปิด (open-source) และมีความเป็นอิสระจาก Silicon Valley ✅ การระดมทุนรอบ Series C ของ Mistral AI ➡️ ระดมทุนได้ 1.7 พันล้านยูโร ➡️ มูลค่าบริษัทหลังการระดมทุนอยู่ที่ 11.7 พันล้านยูโร ➡️ กลายเป็นสตาร์ทอัพ AI ที่มีมูลค่าสูงที่สุดในยุโรป ✅ การลงทุนจาก ASML ➡️ ASML ลงทุน 1.3 พันล้านยูโร และถือหุ้น 11% ➡️ ได้ที่นั่งในคณะกรรมการกลยุทธ์ของ Mistral AI ➡️ ร่วมมือเพื่อพัฒนาโซลูชัน AI สำหรับอุตสาหกรรมเซมิคอนดักเตอร์ ➡️ ตั้งเป้าใช้ AI เพื่อเพิ่มประสิทธิภาพการผลิตและการออกแบบชิป ✅ เป้าหมายของ Mistral AI ➡️ พัฒนาโมเดล AI แบบกระจายศูนย์ (decentralized frontier AI) ➡️ เน้นการแก้ปัญหาทางวิศวกรรมและอุตสาหกรรมที่ซับซ้อน ➡️ สร้างโครงสร้างพื้นฐาน compute ที่มีประสิทธิภาพสูง ➡️ ส่งมอบโซลูชัน AI แบบปรับแต่งเฉพาะสำหรับองค์กร ✅ นักลงทุนรายอื่นที่เข้าร่วม ➡️ NVIDIA, DST Global, Andreessen Horowitz, Bpifrance, General Catalyst, Index Ventures, Lightspeed ➡️ สะท้อนความเชื่อมั่นในแนวทาง open-source และความเป็นอิสระของ Mistral ➡️ สนับสนุนการขยายตัวของ AI ยุโรปให้แข่งขันกับสหรัฐฯ และจีน ✅ ข้อมูลเสริมจากภายนอก ➡️ Mistral เคยระดมทุน Seed มูลค่า $112 ล้านในปี 2023 — ใหญ่ที่สุดในยุโรป ➡️ เปิดตัว Le Chat ในปี 2024 และมีผู้ใช้งานทะลุ 1 ล้านใน 2 สัปดาห์ ➡️ ล่าสุดเพิ่มฟีเจอร์ Memories และโหมดวิจัยลึกใน Le Chat ➡️ เป้าหมายคือสร้าง AI ที่เข้าใจหลายภาษาและทำงานได้หลากหลายบริบท https://mistral.ai/news/mistral-ai-raises-1-7-b-to-accelerate-technological-progress-with-ai
    0 ความคิดเห็น 0 การแบ่งปัน 174 มุมมอง 0 รีวิว
  • “Intel 14A: เทคโนโลยีสุดล้ำที่แรงกว่า 18A แต่แพงกว่า เพราะใช้เครื่องพิมพ์ชิประดับนาโนรุ่นใหม่จาก ASML!”

    ลองนึกภาพว่าคุณกำลังออกแบบชิปที่ต้องการประสิทธิภาพสูงสุดและประหยัดพลังงานที่สุดในโลก แล้ว Intel บอกว่า “เรามี 14A node ที่แรงกว่า 18A ถึง 20% และกินไฟน้อยลงถึง 35%” — ฟังดูน่าสนใจใช่ไหม? แต่เบื้องหลังนั้นคือค่าใช้จ่ายที่สูงลิ่ว เพราะต้องใช้เครื่องพิมพ์ชิปรุ่นใหม่ที่เรียกว่า High-NA EUV จาก ASML ซึ่งมีราคาสูงถึง $380 ล้านต่อเครื่อง!

    David Zinsner, CFO ของ Intel ยืนยันในงานประชุม Citi’s Global TMT ว่า 14A จะมีต้นทุนต่อแผ่นเวเฟอร์สูงกว่า 18A อย่างแน่นอน แม้จะไม่สูงมากในแง่การลงทุนรวม แต่เครื่องพิมพ์ Twinscan EXE:5200B ที่ใช้เลนส์ขนาด 0.55 NA (Numerical Aperture) ทำให้ต้นทุนการผลิตต่อหน่วยพุ่งขึ้น

    Intel 14A ยังมาพร้อมกับเทคโนโลยีใหม่หลายอย่าง เช่น RibbonFET 2 ที่เป็นโครงสร้างทรานซิสเตอร์แบบ gate-all-around รุ่นปรับปรุง และ PowerDirect ที่เป็นระบบส่งพลังงานจากด้านหลังของชิปโดยตรง ซึ่งช่วยลดความซับซ้อนของการเดินสายไฟและเพิ่มประสิทธิภาพ

    นอกจากนี้ยังมี Turbo Cells ที่ช่วยเพิ่มความเร็วของ CPU และ GPU โดยไม่ต้องเพิ่มพื้นที่หรือพลังงานมากนัก — ทั้งหมดนี้ทำให้ 14A เป็น node ที่ออกแบบมาเพื่อรองรับทั้งผลิตภัณฑ์ของ Intel และลูกค้าภายนอกในอนาคต

    แต่ปัญหาคือ หาก Intel ไม่สามารถหาลูกค้าภายนอกมาใช้ 14A ได้ ก็อาจไม่คุ้มค่าการลงทุน และอาจต้องชะลอหรือยกเลิก node นี้ไปเลย ซึ่งจะส่งผลต่อแผนการฟื้นตัวของ Intel Foundry ที่กำลังพยายามกลับมาเป็นผู้นำในตลาดโลก

    Intel 14A node คืออะไร
    เป็นกระบวนการผลิตชิประดับ 1.4nm ที่ออกแบบใหม่ทั้งหมด
    ใช้เทคโนโลยี RibbonFET 2 และ PowerDirect เพื่อเพิ่มประสิทธิภาพ
    มี Turbo Cells ที่ช่วยเพิ่มความเร็วโดยไม่เพิ่มพื้นที่หรือพลังงาน
    ให้ประสิทธิภาพต่อวัตต์ดีกว่า 18A ถึง 15–20% และลดการใช้พลังงานได้ 25–35%

    เครื่องมือที่ใช้ใน 14A
    ใช้เครื่องพิมพ์ชิป High-NA EUV จาก ASML รุ่น Twinscan EXE:5200B
    ความละเอียดสูงถึง 8nm ต่อการยิงแสงครั้งเดียว
    ลดความจำเป็นในการใช้ multi-patterning ซึ่งช่วยเพิ่ม yield
    เครื่องมีราคาสูงถึง $380 ล้านต่อเครื่อง เทียบกับ $235 ล้านของรุ่นเดิม

    บริบททางธุรกิจและการลงทุน
    Intel ต้องการลูกค้าภายนอกเพื่อให้คุ้มค่าการลงทุนใน 14A
    หากไม่มีลูกค้ารายใหญ่ อาจต้องชะลอหรือยกเลิก node นี้
    Intel Foundry ต้องรักษาสัดส่วนการถือหุ้น 51% ตามข้อตกลงกับรัฐบาลสหรัฐฯ
    การพัฒนา 14A ใช้งบวิจัยหลายพันล้านดอลลาร์

    ข้อมูลเสริมจากภายนอก
    14A-E เป็นเวอร์ชันปรับปรุงของ 14A ที่เพิ่มประสิทธิภาพอีก 5%
    Samsung และ TSMC กำลังพัฒนา 2nm node เพื่อแข่งขันกับ Intel
    Intel ได้รับสัญญาผลิตชิป 18A มูลค่า $15 พันล้านจาก Microsoft1
    High-NA EUV ยังมีข้อจำกัดด้านขนาด field ทำให้ต้องปรับการออกแบบชิปใหม่

    https://www.tomshardware.com/tech-industry/semiconductors/intel-cfo-confirms-that-14a-will-be-more-expensive-to-use-than-18a-intel-expects-14a-fabrication-process-to-offer-15-20-percent-better-performance-per-watt-or-25-35-percent-lower-power-consumption-compared-to-18a
    ⚙️ “Intel 14A: เทคโนโลยีสุดล้ำที่แรงกว่า 18A แต่แพงกว่า เพราะใช้เครื่องพิมพ์ชิประดับนาโนรุ่นใหม่จาก ASML!” ลองนึกภาพว่าคุณกำลังออกแบบชิปที่ต้องการประสิทธิภาพสูงสุดและประหยัดพลังงานที่สุดในโลก แล้ว Intel บอกว่า “เรามี 14A node ที่แรงกว่า 18A ถึง 20% และกินไฟน้อยลงถึง 35%” — ฟังดูน่าสนใจใช่ไหม? แต่เบื้องหลังนั้นคือค่าใช้จ่ายที่สูงลิ่ว เพราะต้องใช้เครื่องพิมพ์ชิปรุ่นใหม่ที่เรียกว่า High-NA EUV จาก ASML ซึ่งมีราคาสูงถึง $380 ล้านต่อเครื่อง! David Zinsner, CFO ของ Intel ยืนยันในงานประชุม Citi’s Global TMT ว่า 14A จะมีต้นทุนต่อแผ่นเวเฟอร์สูงกว่า 18A อย่างแน่นอน แม้จะไม่สูงมากในแง่การลงทุนรวม แต่เครื่องพิมพ์ Twinscan EXE:5200B ที่ใช้เลนส์ขนาด 0.55 NA (Numerical Aperture) ทำให้ต้นทุนการผลิตต่อหน่วยพุ่งขึ้น Intel 14A ยังมาพร้อมกับเทคโนโลยีใหม่หลายอย่าง เช่น RibbonFET 2 ที่เป็นโครงสร้างทรานซิสเตอร์แบบ gate-all-around รุ่นปรับปรุง และ PowerDirect ที่เป็นระบบส่งพลังงานจากด้านหลังของชิปโดยตรง ซึ่งช่วยลดความซับซ้อนของการเดินสายไฟและเพิ่มประสิทธิภาพ นอกจากนี้ยังมี Turbo Cells ที่ช่วยเพิ่มความเร็วของ CPU และ GPU โดยไม่ต้องเพิ่มพื้นที่หรือพลังงานมากนัก — ทั้งหมดนี้ทำให้ 14A เป็น node ที่ออกแบบมาเพื่อรองรับทั้งผลิตภัณฑ์ของ Intel และลูกค้าภายนอกในอนาคต แต่ปัญหาคือ หาก Intel ไม่สามารถหาลูกค้าภายนอกมาใช้ 14A ได้ ก็อาจไม่คุ้มค่าการลงทุน และอาจต้องชะลอหรือยกเลิก node นี้ไปเลย ซึ่งจะส่งผลต่อแผนการฟื้นตัวของ Intel Foundry ที่กำลังพยายามกลับมาเป็นผู้นำในตลาดโลก ✅ Intel 14A node คืออะไร ➡️ เป็นกระบวนการผลิตชิประดับ 1.4nm ที่ออกแบบใหม่ทั้งหมด ➡️ ใช้เทคโนโลยี RibbonFET 2 และ PowerDirect เพื่อเพิ่มประสิทธิภาพ ➡️ มี Turbo Cells ที่ช่วยเพิ่มความเร็วโดยไม่เพิ่มพื้นที่หรือพลังงาน ➡️ ให้ประสิทธิภาพต่อวัตต์ดีกว่า 18A ถึง 15–20% และลดการใช้พลังงานได้ 25–35% ✅ เครื่องมือที่ใช้ใน 14A ➡️ ใช้เครื่องพิมพ์ชิป High-NA EUV จาก ASML รุ่น Twinscan EXE:5200B ➡️ ความละเอียดสูงถึง 8nm ต่อการยิงแสงครั้งเดียว ➡️ ลดความจำเป็นในการใช้ multi-patterning ซึ่งช่วยเพิ่ม yield ➡️ เครื่องมีราคาสูงถึง $380 ล้านต่อเครื่อง เทียบกับ $235 ล้านของรุ่นเดิม ✅ บริบททางธุรกิจและการลงทุน ➡️ Intel ต้องการลูกค้าภายนอกเพื่อให้คุ้มค่าการลงทุนใน 14A ➡️ หากไม่มีลูกค้ารายใหญ่ อาจต้องชะลอหรือยกเลิก node นี้ ➡️ Intel Foundry ต้องรักษาสัดส่วนการถือหุ้น 51% ตามข้อตกลงกับรัฐบาลสหรัฐฯ ➡️ การพัฒนา 14A ใช้งบวิจัยหลายพันล้านดอลลาร์ ✅ ข้อมูลเสริมจากภายนอก ➡️ 14A-E เป็นเวอร์ชันปรับปรุงของ 14A ที่เพิ่มประสิทธิภาพอีก 5% ➡️ Samsung และ TSMC กำลังพัฒนา 2nm node เพื่อแข่งขันกับ Intel ➡️ Intel ได้รับสัญญาผลิตชิป 18A มูลค่า $15 พันล้านจาก Microsoft1 ➡️ High-NA EUV ยังมีข้อจำกัดด้านขนาด field ทำให้ต้องปรับการออกแบบชิปใหม่ https://www.tomshardware.com/tech-industry/semiconductors/intel-cfo-confirms-that-14a-will-be-more-expensive-to-use-than-18a-intel-expects-14a-fabrication-process-to-offer-15-20-percent-better-performance-per-watt-or-25-35-percent-lower-power-consumption-compared-to-18a
    0 ความคิดเห็น 0 การแบ่งปัน 192 มุมมอง 0 รีวิว
  • เซเลนสกีประกาศยูเครนกำลังร่วมมือกับเดนมาร์กในการสร้างโรงงานผลิตอาวุธที่ส่งให้ยูเครนโดยเฉพาะ เพื่องเลี่ยงจากการถูกโจมตีจากรัสเซีย

    “เรากำลังสร้างโรงงานผลิตอาวุธร่วมกับพันธมิตรของเรา เป็นครั้งแรกในประวัติศาสตร์ที่ยูเครนได้เริ่มสร้างโรงงานร่วมกับเดนมาร์กในดินแดนเดนมาร์ก และจะผลิตส่วนประกอบสำหรับขีปนาวุธและโดรนของเรา ซึ่งเป็นอาวุธที่พิสูจน์แล้วว่ามีประสิทธิภาพสูง”
    เซเลนสกีประกาศยูเครนกำลังร่วมมือกับเดนมาร์กในการสร้างโรงงานผลิตอาวุธที่ส่งให้ยูเครนโดยเฉพาะ เพื่องเลี่ยงจากการถูกโจมตีจากรัสเซีย “เรากำลังสร้างโรงงานผลิตอาวุธร่วมกับพันธมิตรของเรา เป็นครั้งแรกในประวัติศาสตร์ที่ยูเครนได้เริ่มสร้างโรงงานร่วมกับเดนมาร์กในดินแดนเดนมาร์ก และจะผลิตส่วนประกอบสำหรับขีปนาวุธและโดรนของเรา ซึ่งเป็นอาวุธที่พิสูจน์แล้วว่ามีประสิทธิภาพสูง”
    0 ความคิดเห็น 0 การแบ่งปัน 209 มุมมอง 0 0 รีวิว
  • เรื่องเล่าจาก Arrow Lake ถึง Metro Exodus: เมื่อการปรับแต่งระดับซอฟต์แวร์กลายเป็นตัวเร่งเกมที่ทรงพลัง

    Intel ได้ปล่อยอัปเดตใหม่สำหรับฟีเจอร์ Application Optimization (APO) ซึ่งเป็นระบบที่ช่วยปรับแต่งการทำงานของ CPU ให้เหมาะกับเกมแต่ละเกมโดยเฉพาะ โดยอัปเดตล่าสุดในเดือนกันยายน 2025 นี้ได้เพิ่มเกมใหม่เข้าไปอีก 15 เกม รวมถึง Metro Exodus Enhanced Edition, God of War, Dyson Sphere Program และ EA Sports FC 24

    ฟีเจอร์ APO นี้รองรับเฉพาะ CPU รุ่นใหม่ ได้แก่ Core Ultra 200 series และ Arrow Lake (14th Gen) โดยเฉพาะรุ่นที่มีรหัส “K” และ HX ซึ่งเป็นรุ่นที่เน้นประสิทธิภาพสูง ทั้งในเดสก์ท็อปและโน้ตบุ๊ก

    Intel ระบุว่าการเปิดใช้งาน APO จะช่วยเพิ่มประสิทธิภาพได้สูงสุดถึง 14% ในบางเกม และยังช่วยให้ค่า 1% lows (เฟรมเรตต่ำสุดที่เกิดขึ้นระหว่างเล่น) ดีขึ้นอย่างเห็นได้ชัด ซึ่งหมายถึงประสบการณ์เล่นเกมที่ลื่นไหลมากขึ้น แม้ในฉากที่มีการประมวลผลหนัก

    เพื่อเปิดใช้งาน APO ผู้ใช้ต้องติดตั้ง Intel Dynamic Tuning Technology (DTT) จากเว็บไซต์ของผู้ผลิตเมนบอร์ด และสามารถจัดการการตั้งค่า APO รายเกมได้ผ่านแอป Intel APO UI ที่ดาวน์โหลดจาก Microsoft Store

    นอกจากนี้ Intel ยังระบุว่า APO จะได้รับการอัปเดตอัตโนมัติเมื่อมีเกมใหม่เพิ่มเข้ามาในฐานข้อมูล ซึ่งหมายความว่าผู้ใช้ไม่ต้องติดตั้งใหม่ทุกครั้ง

    รายละเอียดของ APO Update ล่าสุด
    เพิ่มเกมใหม่ 15 เกม เช่น Metro Exodus, God of War, FC 24
    รองรับ CPU Arrow Lake และ Core Ultra 200 เฉพาะรุ่น K และ HX
    เพิ่มประสิทธิภาพได้สูงสุด 14% และปรับปรุงค่า 1% lows

    วิธีเปิดใช้งาน APO
    ติดตั้ง Intel DTT จากเว็บไซต์ผู้ผลิตเมนบอร์ด
    ดาวน์โหลด Intel APO UI จาก Microsoft Store เพื่อจัดการรายเกม
    ได้รับอัปเดตอัตโนมัติเมื่อมีเกมใหม่เพิ่มเข้ามา

    ผลกระทบต่อประสบการณ์เล่นเกม
    เฟรมเรตลื่นขึ้นในฉากที่มีการประมวลผลหนัก
    ลดอาการกระตุกหรือ drop frame ในเกมที่ซับซ้อน
    ไม่ต้องเปลี่ยนฮาร์ดแวร์เพื่อให้เกมทำงานดีขึ้น

    https://wccftech.com/intel-releases-apo-update-for-core-ultra-200-and-14th-gen-processors-claims-up-to-14-higher-performance/
    🎙️ เรื่องเล่าจาก Arrow Lake ถึง Metro Exodus: เมื่อการปรับแต่งระดับซอฟต์แวร์กลายเป็นตัวเร่งเกมที่ทรงพลัง Intel ได้ปล่อยอัปเดตใหม่สำหรับฟีเจอร์ Application Optimization (APO) ซึ่งเป็นระบบที่ช่วยปรับแต่งการทำงานของ CPU ให้เหมาะกับเกมแต่ละเกมโดยเฉพาะ โดยอัปเดตล่าสุดในเดือนกันยายน 2025 นี้ได้เพิ่มเกมใหม่เข้าไปอีก 15 เกม รวมถึง Metro Exodus Enhanced Edition, God of War, Dyson Sphere Program และ EA Sports FC 24 ฟีเจอร์ APO นี้รองรับเฉพาะ CPU รุ่นใหม่ ได้แก่ Core Ultra 200 series และ Arrow Lake (14th Gen) โดยเฉพาะรุ่นที่มีรหัส “K” และ HX ซึ่งเป็นรุ่นที่เน้นประสิทธิภาพสูง ทั้งในเดสก์ท็อปและโน้ตบุ๊ก Intel ระบุว่าการเปิดใช้งาน APO จะช่วยเพิ่มประสิทธิภาพได้สูงสุดถึง 14% ในบางเกม และยังช่วยให้ค่า 1% lows (เฟรมเรตต่ำสุดที่เกิดขึ้นระหว่างเล่น) ดีขึ้นอย่างเห็นได้ชัด ซึ่งหมายถึงประสบการณ์เล่นเกมที่ลื่นไหลมากขึ้น แม้ในฉากที่มีการประมวลผลหนัก เพื่อเปิดใช้งาน APO ผู้ใช้ต้องติดตั้ง Intel Dynamic Tuning Technology (DTT) จากเว็บไซต์ของผู้ผลิตเมนบอร์ด และสามารถจัดการการตั้งค่า APO รายเกมได้ผ่านแอป Intel APO UI ที่ดาวน์โหลดจาก Microsoft Store นอกจากนี้ Intel ยังระบุว่า APO จะได้รับการอัปเดตอัตโนมัติเมื่อมีเกมใหม่เพิ่มเข้ามาในฐานข้อมูล ซึ่งหมายความว่าผู้ใช้ไม่ต้องติดตั้งใหม่ทุกครั้ง ✅ รายละเอียดของ APO Update ล่าสุด ➡️ เพิ่มเกมใหม่ 15 เกม เช่น Metro Exodus, God of War, FC 24 ➡️ รองรับ CPU Arrow Lake และ Core Ultra 200 เฉพาะรุ่น K และ HX ➡️ เพิ่มประสิทธิภาพได้สูงสุด 14% และปรับปรุงค่า 1% lows ✅ วิธีเปิดใช้งาน APO ➡️ ติดตั้ง Intel DTT จากเว็บไซต์ผู้ผลิตเมนบอร์ด ➡️ ดาวน์โหลด Intel APO UI จาก Microsoft Store เพื่อจัดการรายเกม ➡️ ได้รับอัปเดตอัตโนมัติเมื่อมีเกมใหม่เพิ่มเข้ามา ✅ ผลกระทบต่อประสบการณ์เล่นเกม ➡️ เฟรมเรตลื่นขึ้นในฉากที่มีการประมวลผลหนัก ➡️ ลดอาการกระตุกหรือ drop frame ในเกมที่ซับซ้อน ➡️ ไม่ต้องเปลี่ยนฮาร์ดแวร์เพื่อให้เกมทำงานดีขึ้น https://wccftech.com/intel-releases-apo-update-for-core-ultra-200-and-14th-gen-processors-claims-up-to-14-higher-performance/
    WCCFTECH.COM
    Intel Releases APO Update For Core Ultra 200 And 14th Gen Processors; Claims Up To 14% Higher Performance
    Intel has rolled out the latest update for the APO aka Application Optimization for several titles for the 14th gen and Arrow lake CPUs.
    0 ความคิดเห็น 0 การแบ่งปัน 207 มุมมอง 0 รีวิว
Pages Boosts