• 🔍 ไต้หวันแบนการส่งออกชิปให้ Huawei และ SMIC
    รัฐบาลไต้หวันได้เพิ่ม Huawei และ SMIC เข้าไปในรายชื่อบริษัทที่ถูกควบคุมการส่งออกสินค้าเทคโนโลยีขั้นสูง ซึ่งเป็นมาตรการที่เข้มงวดขึ้นหลังจากพบว่า Huaweiใช้บริษัทตัวกลางเพื่อหลอกให้ TSMC ผลิตชิป AI จำนวน 2 ล้านตัว แม้จะถูกสหรัฐฯ คว่ำบาตรไปแล้ว

    ✅ รายละเอียดมาตรการแบน
    - Huawei และ SMIC ต้อง ขอใบอนุญาตส่งออก จากบริษัทไต้หวันก่อนรับสินค้า
    - รายชื่อบริษัทที่ถูกแบนของไต้หวันรวมถึง Taliban, al-Qaeda, อิหร่าน, รัสเซีย, อัฟกานิสถาน และเกาหลีเหนือ
    - การแบนนี้เกิดขึ้นหลังจาก TSMC ถูกปรับเงินจำนวนมาก เนื่องจากผลิตชิปให้ Huawei โดยไม่ได้ตั้งใจ
    - บริษัทอื่นๆ เช่น UMC, ASE, SPIL และ Nanya ก็ต้องปฏิบัติตามข้อจำกัดใหม่

    ‼️ ข้อควรระวัง
    - Huawei อาจหาทางเลี่ยงมาตรการแบน โดยใช้บริษัทตัวกลางอื่นๆ
    - การแบนอาจส่งผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์ของจีน ทำให้ต้องพึ่งพาการผลิตภายในประเทศมากขึ้น
    - อาจเกิดความตึงเครียดทางการค้าระหว่างจีนและไต้หวัน ซึ่งอาจส่งผลต่อเศรษฐกิจโลก

    🌍 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์
    ✅ แนวโน้มตลาดชิป
    - สหรัฐฯ ได้ขอให้ TSMC หยุดส่งออกชิปขั้นสูงให้จีน ตั้งแต่เดือนพฤศจิกายนที่ผ่านมา
    - มีการคาดการณ์ว่า จีนอาจเร่งพัฒนาเทคโนโลยีชิปของตนเอง เพื่อลดการพึ่งพาต่างประเทศ
    - บริษัทเซมิคอนดักเตอร์ในไต้หวันอาจได้รับผลกระทบ จากการลดคำสั่งซื้อจากจีน

    ‼️ ข้อควรระวังเกี่ยวกับตลาดชิป
    - การควบคุมการส่งออกอาจทำให้เกิดการลักลอบนำเข้าชิป ผ่านช่องทางที่ไม่เป็นทางการ
    - จีนอาจตอบโต้ด้วยมาตรการทางเศรษฐกิจ เช่น การจำกัดการส่งออกแร่หายากที่ใช้ผลิตชิป
    - ต้องจับตาดูการพัฒนาเทคโนโลยีของจีน ว่าจะสามารถแข่งขันกับไต้หวันและสหรัฐฯ ได้หรือไม่

    🛡️ แนวทางการควบคุมเทคโนโลยีขั้นสูง
    ✅ มาตรการของสหรัฐฯ และไต้หวัน
    - สหรัฐฯ ได้ออกมาตรการ ห้ามใช้ชิป Huawei Ascend ในหลายประเทศ
    - ไต้หวันกำลังพิจารณา เพิ่มข้อจำกัดในการส่งออกเทคโนโลยีการผลิตชิปขั้นสูง
    - มีการคาดการณ์ว่า มาตรการแบนอาจขยายไปถึงบริษัทอื่นๆ ในจีน ที่เกี่ยวข้องกับการพัฒนา AI

    ‼️ ข้อควรระวังเกี่ยวกับการควบคุมเทคโนโลยี
    - อาจเกิดการแข่งขันด้านเทคโนโลยีที่รุนแรงขึ้น ระหว่างจีนและตะวันตก
    - การควบคุมที่เข้มงวดอาจทำให้เกิดการพัฒนาเทคโนโลยีแบบปิดกั้น ซึ่งอาจส่งผลต่อการเติบโตของอุตสาหกรรม
    - ต้องมีการกำหนดมาตรฐานที่ชัดเจน เพื่อให้การควบคุมเทคโนโลยีเป็นไปอย่างโปร่งใสและยุติธรรม

    https://www.tomshardware.com/tech-industry/semiconductors/taiwan-bans-chip-exports-to-huawei-smic-ban-comes-after-huawei-tricked-tsmc-into-making-one-million-ai-processors-despite-us-restrictions
    🔍 ไต้หวันแบนการส่งออกชิปให้ Huawei และ SMIC รัฐบาลไต้หวันได้เพิ่ม Huawei และ SMIC เข้าไปในรายชื่อบริษัทที่ถูกควบคุมการส่งออกสินค้าเทคโนโลยีขั้นสูง ซึ่งเป็นมาตรการที่เข้มงวดขึ้นหลังจากพบว่า Huaweiใช้บริษัทตัวกลางเพื่อหลอกให้ TSMC ผลิตชิป AI จำนวน 2 ล้านตัว แม้จะถูกสหรัฐฯ คว่ำบาตรไปแล้ว ✅ รายละเอียดมาตรการแบน - Huawei และ SMIC ต้อง ขอใบอนุญาตส่งออก จากบริษัทไต้หวันก่อนรับสินค้า - รายชื่อบริษัทที่ถูกแบนของไต้หวันรวมถึง Taliban, al-Qaeda, อิหร่าน, รัสเซีย, อัฟกานิสถาน และเกาหลีเหนือ - การแบนนี้เกิดขึ้นหลังจาก TSMC ถูกปรับเงินจำนวนมาก เนื่องจากผลิตชิปให้ Huawei โดยไม่ได้ตั้งใจ - บริษัทอื่นๆ เช่น UMC, ASE, SPIL และ Nanya ก็ต้องปฏิบัติตามข้อจำกัดใหม่ ‼️ ข้อควรระวัง - Huawei อาจหาทางเลี่ยงมาตรการแบน โดยใช้บริษัทตัวกลางอื่นๆ - การแบนอาจส่งผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์ของจีน ทำให้ต้องพึ่งพาการผลิตภายในประเทศมากขึ้น - อาจเกิดความตึงเครียดทางการค้าระหว่างจีนและไต้หวัน ซึ่งอาจส่งผลต่อเศรษฐกิจโลก 🌍 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์ ✅ แนวโน้มตลาดชิป - สหรัฐฯ ได้ขอให้ TSMC หยุดส่งออกชิปขั้นสูงให้จีน ตั้งแต่เดือนพฤศจิกายนที่ผ่านมา - มีการคาดการณ์ว่า จีนอาจเร่งพัฒนาเทคโนโลยีชิปของตนเอง เพื่อลดการพึ่งพาต่างประเทศ - บริษัทเซมิคอนดักเตอร์ในไต้หวันอาจได้รับผลกระทบ จากการลดคำสั่งซื้อจากจีน ‼️ ข้อควรระวังเกี่ยวกับตลาดชิป - การควบคุมการส่งออกอาจทำให้เกิดการลักลอบนำเข้าชิป ผ่านช่องทางที่ไม่เป็นทางการ - จีนอาจตอบโต้ด้วยมาตรการทางเศรษฐกิจ เช่น การจำกัดการส่งออกแร่หายากที่ใช้ผลิตชิป - ต้องจับตาดูการพัฒนาเทคโนโลยีของจีน ว่าจะสามารถแข่งขันกับไต้หวันและสหรัฐฯ ได้หรือไม่ 🛡️ แนวทางการควบคุมเทคโนโลยีขั้นสูง ✅ มาตรการของสหรัฐฯ และไต้หวัน - สหรัฐฯ ได้ออกมาตรการ ห้ามใช้ชิป Huawei Ascend ในหลายประเทศ - ไต้หวันกำลังพิจารณา เพิ่มข้อจำกัดในการส่งออกเทคโนโลยีการผลิตชิปขั้นสูง - มีการคาดการณ์ว่า มาตรการแบนอาจขยายไปถึงบริษัทอื่นๆ ในจีน ที่เกี่ยวข้องกับการพัฒนา AI ‼️ ข้อควรระวังเกี่ยวกับการควบคุมเทคโนโลยี - อาจเกิดการแข่งขันด้านเทคโนโลยีที่รุนแรงขึ้น ระหว่างจีนและตะวันตก - การควบคุมที่เข้มงวดอาจทำให้เกิดการพัฒนาเทคโนโลยีแบบปิดกั้น ซึ่งอาจส่งผลต่อการเติบโตของอุตสาหกรรม - ต้องมีการกำหนดมาตรฐานที่ชัดเจน เพื่อให้การควบคุมเทคโนโลยีเป็นไปอย่างโปร่งใสและยุติธรรม https://www.tomshardware.com/tech-industry/semiconductors/taiwan-bans-chip-exports-to-huawei-smic-ban-comes-after-huawei-tricked-tsmc-into-making-one-million-ai-processors-despite-us-restrictions
    0 Comments 0 Shares 170 Views 0 Reviews
  • 🏭 Intel เตรียมปลดพนักงานฝ่ายผลิตชิปกลางเดือนกรกฎาคม
    Intel ประกาศแผนปลดพนักงานฝ่ายผลิตชิปที่โรงงาน Silicon Forest ในรัฐโอเรกอน ตั้งแต่ กลางเดือนกรกฎาคม 2025 โดยมีเป้าหมาย ลดต้นทุนและเพิ่มประสิทธิภาพด้านวิศวกรรม

    🔍 รายละเอียดของการปรับโครงสร้าง
    ✅ Intel ปรับโครงสร้างกลุ่มผลิตชิปให้เน้นด้านวิศวกรรมมากขึ้น
    - ลดจำนวนพนักงานระดับกลาง เพื่อให้ การดำเนินงานมีความคล่องตัวขึ้น
    - เน้นการพัฒนาเทคโนโลยีการผลิตชิป เช่น EUV และ High-NA EUV lithography

    ✅ การปลดพนักงานอาจมีหลายรอบ
    - รอบแรกจะสิ้นสุดภายในเดือนกรกฎาคม
    - อาจมีการปลดพนักงานเพิ่มเติมหากจำเป็น

    ✅ Intel ต้องการลดต้นทุนเพื่อปรับปรุงสถานะทางการเงิน
    - บริษัทต้องการเป็นองค์กรที่มีประสิทธิภาพมากขึ้น
    - การลดต้นทุนช่วยให้สามารถแข่งขันกับ TSMC และ Samsung ได้ดีขึ้น

    🔥 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์
    ‼️ การลดจำนวนพนักงานอาจส่งผลต่อการพัฒนาเทคโนโลยีใหม่
    - หากลดจำนวนวิศวกรมากเกินไป อาจทำให้การพัฒนาเทคโนโลยีล่าช้า

    ‼️ การปลดพนักงานอาจลดความยืดหยุ่นในการดำเนินงาน
    - การลดจำนวนพนักงานระดับปฏิบัติการอาจทำให้การตอบสนองต่อปัญหาช้าลง

    ‼️ ต้องติดตามว่า Intel จะสามารถรักษากำลังการผลิตได้หรือไม่
    - หากการลดพนักงานส่งผลต่อการผลิต อาจทำให้เกิดปัญหาด้านซัพพลายเชน

    🚀 อนาคตของ Intel และการผลิตชิป
    ✅ Intel อาจต้องปรับกลยุทธ์เพื่อแข่งขันกับ TSMC และ Samsung
    ✅ ต้องติดตามว่าการปรับโครงสร้างนี้จะช่วยให้ Intel มีความคล่องตัวมากขึ้นหรือไม่

    https://www.tomshardware.com/pc-components/cpus/intel-to-begin-fab-personnel-layoffs-in-mid-july-company-to-reduce-costs-and-refocus-on-engineering-talent
    🏭 Intel เตรียมปลดพนักงานฝ่ายผลิตชิปกลางเดือนกรกฎาคม Intel ประกาศแผนปลดพนักงานฝ่ายผลิตชิปที่โรงงาน Silicon Forest ในรัฐโอเรกอน ตั้งแต่ กลางเดือนกรกฎาคม 2025 โดยมีเป้าหมาย ลดต้นทุนและเพิ่มประสิทธิภาพด้านวิศวกรรม 🔍 รายละเอียดของการปรับโครงสร้าง ✅ Intel ปรับโครงสร้างกลุ่มผลิตชิปให้เน้นด้านวิศวกรรมมากขึ้น - ลดจำนวนพนักงานระดับกลาง เพื่อให้ การดำเนินงานมีความคล่องตัวขึ้น - เน้นการพัฒนาเทคโนโลยีการผลิตชิป เช่น EUV และ High-NA EUV lithography ✅ การปลดพนักงานอาจมีหลายรอบ - รอบแรกจะสิ้นสุดภายในเดือนกรกฎาคม - อาจมีการปลดพนักงานเพิ่มเติมหากจำเป็น ✅ Intel ต้องการลดต้นทุนเพื่อปรับปรุงสถานะทางการเงิน - บริษัทต้องการเป็นองค์กรที่มีประสิทธิภาพมากขึ้น - การลดต้นทุนช่วยให้สามารถแข่งขันกับ TSMC และ Samsung ได้ดีขึ้น 🔥 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์ ‼️ การลดจำนวนพนักงานอาจส่งผลต่อการพัฒนาเทคโนโลยีใหม่ - หากลดจำนวนวิศวกรมากเกินไป อาจทำให้การพัฒนาเทคโนโลยีล่าช้า ‼️ การปลดพนักงานอาจลดความยืดหยุ่นในการดำเนินงาน - การลดจำนวนพนักงานระดับปฏิบัติการอาจทำให้การตอบสนองต่อปัญหาช้าลง ‼️ ต้องติดตามว่า Intel จะสามารถรักษากำลังการผลิตได้หรือไม่ - หากการลดพนักงานส่งผลต่อการผลิต อาจทำให้เกิดปัญหาด้านซัพพลายเชน 🚀 อนาคตของ Intel และการผลิตชิป ✅ Intel อาจต้องปรับกลยุทธ์เพื่อแข่งขันกับ TSMC และ Samsung ✅ ต้องติดตามว่าการปรับโครงสร้างนี้จะช่วยให้ Intel มีความคล่องตัวมากขึ้นหรือไม่ https://www.tomshardware.com/pc-components/cpus/intel-to-begin-fab-personnel-layoffs-in-mid-july-company-to-reduce-costs-and-refocus-on-engineering-talent
    0 Comments 0 Shares 142 Views 0 Reviews
  • 🏭 Besi ปรับเป้าหมายทางการเงินระยะยาว คาดการณ์ความต้องการชิปเพิ่มขึ้น
    BE Semiconductor Industries (Besi) ปรับเป้าหมายทางการเงินระยะยาว โดยคาดการณ์ว่า ตลาดสำหรับเทคโนโลยีการจัดเรียงชิปขั้นสูงจะเติบโตอย่างมาก เนื่องจาก การพัฒนา AI และศูนย์ข้อมูล

    Besi เป็นผู้ผลิต เครื่องมือ Hybrid Bonding ที่แม่นยำที่สุดในโลก ซึ่งช่วยให้ สามารถเชื่อมต่อชิปหลายตัวเข้าด้วยกันโดยตรง ทำให้ ชิปมีประสิทธิภาพสูงขึ้นโดยไม่ต้องลดขนาดทรานซิสเตอร์

    ✅ ข้อมูลจากข่าว
    - Besi ปรับเป้าหมายรายได้ระยะยาวเป็น 1.5-1.9 พันล้านยูโร จากเดิม 1 พันล้านยูโร
    - อัตรากำไรจากการดำเนินงานเพิ่มขึ้นเป็น 40%-55% จากเดิม 35%-50%
    - การพัฒนา AI และศูนย์ข้อมูลช่วยเพิ่มความต้องการเทคโนโลยี Hybrid Bonding
    - หุ้นของ Besi เพิ่มขึ้น 7.5% หลังประกาศปรับเป้าหมาย
    - นักวิเคราะห์จาก ING ระบุว่าตลาดสำหรับเทคโนโลยีการจัดเรียงชิปเติบโตขึ้นอย่างมาก

    🔥 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์
    เมื่อการลดขนาดทรานซิสเตอร์ เริ่มถึงขีดจำกัดทางกายภาพ ผู้ผลิตชิป ต้องหาทางเพิ่มประสิทธิภาพผ่านเทคโนโลยีการจัดเรียงชิปขั้นสูง

    ‼️ คำเตือนที่ควรพิจารณา
    - แม้ Hybrid Bonding จะช่วยเพิ่มประสิทธิภาพ แต่ยังต้องใช้เทคโนโลยีการผลิตที่ซับซ้อน
    - ต้องติดตามว่าผู้ผลิตชิปรายใหญ่ เช่น TSMC และ Intel จะนำเทคโนโลยีนี้ไปใช้มากน้อยแค่ไหน
    - ตลาดเซมิคอนดักเตอร์ยังคงเผชิญกับความไม่แน่นอนด้านเศรษฐกิจและซัพพลายเชน
    - ต้องรอดูว่า Besi จะสามารถรักษาอัตราการเติบโตตามเป้าหมายที่ตั้งไว้ได้หรือไม่

    Besi เชื่อว่า เทคโนโลยี Hybrid Bonding จะเป็นกุญแจสำคัญในการพัฒนาชิปที่เร็วขึ้นและทรงพลังขึ้น อย่างไรก็ตาม ต้องติดตามว่าตลาดจะตอบรับเทคโนโลยีนี้อย่างไร

    https://www.thestar.com.my/tech/tech-news/2025/06/12/besi-lifts-its-forecast-expects-higher-demand-for-its-advanced-solutions
    🏭 Besi ปรับเป้าหมายทางการเงินระยะยาว คาดการณ์ความต้องการชิปเพิ่มขึ้น BE Semiconductor Industries (Besi) ปรับเป้าหมายทางการเงินระยะยาว โดยคาดการณ์ว่า ตลาดสำหรับเทคโนโลยีการจัดเรียงชิปขั้นสูงจะเติบโตอย่างมาก เนื่องจาก การพัฒนา AI และศูนย์ข้อมูล Besi เป็นผู้ผลิต เครื่องมือ Hybrid Bonding ที่แม่นยำที่สุดในโลก ซึ่งช่วยให้ สามารถเชื่อมต่อชิปหลายตัวเข้าด้วยกันโดยตรง ทำให้ ชิปมีประสิทธิภาพสูงขึ้นโดยไม่ต้องลดขนาดทรานซิสเตอร์ ✅ ข้อมูลจากข่าว - Besi ปรับเป้าหมายรายได้ระยะยาวเป็น 1.5-1.9 พันล้านยูโร จากเดิม 1 พันล้านยูโร - อัตรากำไรจากการดำเนินงานเพิ่มขึ้นเป็น 40%-55% จากเดิม 35%-50% - การพัฒนา AI และศูนย์ข้อมูลช่วยเพิ่มความต้องการเทคโนโลยี Hybrid Bonding - หุ้นของ Besi เพิ่มขึ้น 7.5% หลังประกาศปรับเป้าหมาย - นักวิเคราะห์จาก ING ระบุว่าตลาดสำหรับเทคโนโลยีการจัดเรียงชิปเติบโตขึ้นอย่างมาก 🔥 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์ เมื่อการลดขนาดทรานซิสเตอร์ เริ่มถึงขีดจำกัดทางกายภาพ ผู้ผลิตชิป ต้องหาทางเพิ่มประสิทธิภาพผ่านเทคโนโลยีการจัดเรียงชิปขั้นสูง ‼️ คำเตือนที่ควรพิจารณา - แม้ Hybrid Bonding จะช่วยเพิ่มประสิทธิภาพ แต่ยังต้องใช้เทคโนโลยีการผลิตที่ซับซ้อน - ต้องติดตามว่าผู้ผลิตชิปรายใหญ่ เช่น TSMC และ Intel จะนำเทคโนโลยีนี้ไปใช้มากน้อยแค่ไหน - ตลาดเซมิคอนดักเตอร์ยังคงเผชิญกับความไม่แน่นอนด้านเศรษฐกิจและซัพพลายเชน - ต้องรอดูว่า Besi จะสามารถรักษาอัตราการเติบโตตามเป้าหมายที่ตั้งไว้ได้หรือไม่ Besi เชื่อว่า เทคโนโลยี Hybrid Bonding จะเป็นกุญแจสำคัญในการพัฒนาชิปที่เร็วขึ้นและทรงพลังขึ้น อย่างไรก็ตาม ต้องติดตามว่าตลาดจะตอบรับเทคโนโลยีนี้อย่างไร https://www.thestar.com.my/tech/tech-news/2025/06/12/besi-lifts-its-forecast-expects-higher-demand-for-its-advanced-solutions
    WWW.THESTAR.COM.MY
    Besi lifts long-term financial targets, eyeing demand growth
    AMSTERDAM (Reuters) -BE Semiconductor Industries (Besi) raised its long-term financial targets on Thursday ahead of its investor day, saying the future is bright for its advanced chip stacking tools.
    0 Comments 0 Shares 116 Views 0 Reviews
  • 🚀 SpaceX เตรียมสร้างโรงงานบรรจุชิปขั้นสูงในเท็กซัส
    SpaceX กำลังขยายขีดความสามารถด้านการผลิต โดยเตรียมสร้าง โรงงานบรรจุชิปขั้นสูงในเท็กซัส ซึ่งจะใช้ เทคโนโลยี Fan-Out Panel-Level Packaging (FOPLP) และมี ขนาดแผ่นฐานชิปใหญ่ที่สุดในอุตสาหกรรมที่ 700mm x 700mm

    ปัจจุบัน SpaceX ยังไม่ได้ผลิตชิปของตัวเอง แต่ใช้บริการจาก STMicroelectronics และ Innolux อย่างไรก็ตาม บริษัทกำลังผลักดันให้มีการผลิตชิปภายในประเทศ เพื่อสนับสนุน ความเป็นอิสระด้านเซมิคอนดักเตอร์ของสหรัฐฯ

    ปีที่แล้ว SpaceX ได้เปิด โรงงานผลิตแผงวงจรพิมพ์ (PCB) ที่ใหญ่ที่สุดในสหรัฐฯ ที่เมือง Bastrop, Texas ซึ่งช่วยให้บริษัทสามารถ ลดต้นทุนและควบคุมกระบวนการผลิตดาวเทียมได้ดีขึ้น

    การสร้างโรงงานบรรจุชิปเป็น ขั้นตอนต่อไปที่สมเหตุสมผล เนื่องจาก กระบวนการ FOPLP มีความคล้ายคลึงกับการผลิต PCB เช่น การชุบทองแดง, การใช้เลเซอร์ และกระบวนการเติมสารกึ่งตัวนำ

    ✅ ข้อมูลจากข่าว
    - SpaceX เตรียมสร้างโรงงานบรรจุชิปขั้นสูงในเท็กซัส
    - ใช้เทคโนโลยี Fan-Out Panel-Level Packaging (FOPLP)
    - ขนาดแผ่นฐานชิปใหญ่ที่สุดในอุตสาหกรรมที่ 700mm x 700mm
    - ปัจจุบัน SpaceX ยังไม่ได้ผลิตชิปของตัวเอง แต่ใช้บริการจาก STMicroelectronics และ Innolux
    - โรงงาน PCB ที่ Bastrop, Texas ช่วยลดต้นทุนและเพิ่มความสามารถในการผลิตดาวเทียม

    ‼️ คำเตือนที่ควรพิจารณา
    - SpaceX ยังต้องพัฒนาเทคโนโลยีการผลิตชิปของตัวเองก่อนที่จะสามารถแข่งขันกับผู้ผลิตรายใหญ่ได้
    - ต้องติดตามว่าการลงทุนนี้จะช่วยให้สหรัฐฯ ลดการพึ่งพาเซมิคอนดักเตอร์จากต่างประเทศได้จริงหรือไม่
    - แม้ว่า FOPLP จะเหมาะกับอุตสาหกรรมอวกาศและการสื่อสาร แต่ยังต้องพิสูจน์ว่ามีประสิทธิภาพเทียบเท่ากับเทคโนโลยีอื่น ๆ
    - การแข่งขันกับ TSMC, Intel และ GlobalFoundries อาจทำให้ SpaceX ต้องใช้เงินลงทุนมหาศาลในระยะยาว

    การเข้าสู่ตลาดบรรจุชิปของ SpaceX อาจช่วยให้สหรัฐฯ มีตัวเลือกที่ผลิตภายในประเทศมากขึ้น และ ลดความเสี่ยงด้านความมั่นคงของห่วงโซ่อุปทาน อย่างไรก็ตาม ต้องติดตามว่าการลงทุนนี้จะสามารถแข่งขันกับผู้ผลิตรายใหญ่ได้หรือไม่

    https://www.tomshardware.com/tech-industry/manufacturing/elon-musks-spacex-to-build-its-own-advanced-chip-packaging-factory-in-texas-700mm-x-700mm-substrate-size-purported-to-be-the-largest-in-the-industry
    🚀 SpaceX เตรียมสร้างโรงงานบรรจุชิปขั้นสูงในเท็กซัส SpaceX กำลังขยายขีดความสามารถด้านการผลิต โดยเตรียมสร้าง โรงงานบรรจุชิปขั้นสูงในเท็กซัส ซึ่งจะใช้ เทคโนโลยี Fan-Out Panel-Level Packaging (FOPLP) และมี ขนาดแผ่นฐานชิปใหญ่ที่สุดในอุตสาหกรรมที่ 700mm x 700mm ปัจจุบัน SpaceX ยังไม่ได้ผลิตชิปของตัวเอง แต่ใช้บริการจาก STMicroelectronics และ Innolux อย่างไรก็ตาม บริษัทกำลังผลักดันให้มีการผลิตชิปภายในประเทศ เพื่อสนับสนุน ความเป็นอิสระด้านเซมิคอนดักเตอร์ของสหรัฐฯ ปีที่แล้ว SpaceX ได้เปิด โรงงานผลิตแผงวงจรพิมพ์ (PCB) ที่ใหญ่ที่สุดในสหรัฐฯ ที่เมือง Bastrop, Texas ซึ่งช่วยให้บริษัทสามารถ ลดต้นทุนและควบคุมกระบวนการผลิตดาวเทียมได้ดีขึ้น การสร้างโรงงานบรรจุชิปเป็น ขั้นตอนต่อไปที่สมเหตุสมผล เนื่องจาก กระบวนการ FOPLP มีความคล้ายคลึงกับการผลิต PCB เช่น การชุบทองแดง, การใช้เลเซอร์ และกระบวนการเติมสารกึ่งตัวนำ ✅ ข้อมูลจากข่าว - SpaceX เตรียมสร้างโรงงานบรรจุชิปขั้นสูงในเท็กซัส - ใช้เทคโนโลยี Fan-Out Panel-Level Packaging (FOPLP) - ขนาดแผ่นฐานชิปใหญ่ที่สุดในอุตสาหกรรมที่ 700mm x 700mm - ปัจจุบัน SpaceX ยังไม่ได้ผลิตชิปของตัวเอง แต่ใช้บริการจาก STMicroelectronics และ Innolux - โรงงาน PCB ที่ Bastrop, Texas ช่วยลดต้นทุนและเพิ่มความสามารถในการผลิตดาวเทียม ‼️ คำเตือนที่ควรพิจารณา - SpaceX ยังต้องพัฒนาเทคโนโลยีการผลิตชิปของตัวเองก่อนที่จะสามารถแข่งขันกับผู้ผลิตรายใหญ่ได้ - ต้องติดตามว่าการลงทุนนี้จะช่วยให้สหรัฐฯ ลดการพึ่งพาเซมิคอนดักเตอร์จากต่างประเทศได้จริงหรือไม่ - แม้ว่า FOPLP จะเหมาะกับอุตสาหกรรมอวกาศและการสื่อสาร แต่ยังต้องพิสูจน์ว่ามีประสิทธิภาพเทียบเท่ากับเทคโนโลยีอื่น ๆ - การแข่งขันกับ TSMC, Intel และ GlobalFoundries อาจทำให้ SpaceX ต้องใช้เงินลงทุนมหาศาลในระยะยาว การเข้าสู่ตลาดบรรจุชิปของ SpaceX อาจช่วยให้สหรัฐฯ มีตัวเลือกที่ผลิตภายในประเทศมากขึ้น และ ลดความเสี่ยงด้านความมั่นคงของห่วงโซ่อุปทาน อย่างไรก็ตาม ต้องติดตามว่าการลงทุนนี้จะสามารถแข่งขันกับผู้ผลิตรายใหญ่ได้หรือไม่ https://www.tomshardware.com/tech-industry/manufacturing/elon-musks-spacex-to-build-its-own-advanced-chip-packaging-factory-in-texas-700mm-x-700mm-substrate-size-purported-to-be-the-largest-in-the-industry
    0 Comments 0 Shares 238 Views 0 Reviews
  • 🚀 ยกระดับการผลิตของคุณด้วยเครื่องบดแห้ง PULVERIZER 30B! 🚀
    คุณกำลังมองหาโซลูชันเพื่อ เพิ่มมูลค่าให้วัตถุดิบ และขยายไลน์การผลิตใช่ไหม?
    โดยเฉพาะอย่างยิ่งสำหรับการ บดน้ำตาลทรายขาว ให้เป็นน้ำตาลไอซิ่ง หรือน้ำตาลผงละเอียด!

    เครื่องบดแห้ง Pulverizer 30B ของเราสามารถปรับใช้เพื่อบด น้ำตาลทรายขาว ให้ได้ขนาดอนุภาคที่สม่ำเสมอตามที่คุณต้องการ ไม่ว่าจะเป็นน้ำตาลไอซิ่งสำหรับเบเกอรี่ หรือน้ำตาลผงสำหรับอุตสาหกรรมอื่น ๆ
    ฟันตี 3 แบบ เลือกได้ตามใจ: สำหรับการบดน้ำตาลทรายขาว เราสามารถแนะนำฟันตีที่เหมาะสมเพื่อให้ได้ผลลัพธ์ที่ดีที่สุด:
    Pin Mill: เป็นตัวเลือกที่เหมาะที่สุดสำหรับการบดน้ำตาลทรายขาวให้เป็นผงละเอียดมาก หรือน้ำตาลไอซิ่ง เนื่องจากออกแบบมาเพื่อการบดที่ให้ความละเอียดสูง
    Hammer Mill: อาจใช้สำหรับการบดน้ำตาลทรายขาวให้มีความละเอียดปานกลาง หรือใช้เป็นขั้นตอนแรกในการลดขนาดก่อนจะบดละเอียดด้วย Pin Mill

    ควบคุมความละเอียดได้แม่นยำ: สามารถปรับเปลี่ยนตะแกรงให้เหมาะสมกับขนาดอนุภาคของน้ำตาลผงที่คุณต้องการ เพื่อให้ได้ผลลัพธ์ที่สม่ำเสมอและตรงตามมาตรฐานผลิตภัณฑ์ของคุณ

    มาตรฐานระดับโลก: มั่นใจในคุณภาพและความปลอดภัยด้วยมาตรฐาน ยุโรป CE และรองรับระบบ GMP, HACCP ซึ่งจำเป็นอย่างยิ่งสำหรับอุตสาหกรรมอาหาร

    ทดลองบดก่อนตัดสินใจ: ไม่ต้องเสี่ยง! นำวัตถุดิบของคุณ (น้ำตาลทรายขาว) มาทดลองบดกับเครื่องจริงได้เลย (กรุณาแจ้งล่วงหน้า) เพื่อให้คุณมั่นใจในประสิทธิภาพและผลลัพธ์

    เปลี่ยนน้ำตาลทรายขาวให้เป็นน้ำตาลผงคุณภาพสูง สร้างโอกาสทางธุรกิจใหม่ๆ ได้อย่างไม่จำกัด!

    📞 สนใจสอบถามเพิ่มเติม หรือนัดทดลองบดน้ำตาลทรายขาว?
    ติดต่อเราได้เลย!

    โทร: 081-318-9098, 02-2153515-9
    อีเมล: yonghahheng@gmail.com, sales@yoryonghahheng.com
    แวะมาเยี่ยมชม: สำนักงานของเราตั้งอยู่ตรงข้ามจุฬาซอย 34 ถนนบรรทัดทอง (พระราม 6) ใกล้หัวลำโพง
    เลือกคุณภาพ เลือก BONNY – เครื่องจักรที่ตอบโจทย์ทุกความสำเร็จของคุณ!


    #เครื่องบด #เครื่องบดแห้ง #Pulverizer #เครื่องจักรแปรรูปอาหาร #เครื่องจักรโรงงาน #บดน้ำตาล #น้ำตาลไอซิ่ง #น้ำตาลผง #อุตสาหกรรมเบเกอรี่ #วัตถุดิบอาหาร #เครื่องจักรอาหาร #โรงงานผลิต #SMEไทย #เพิ่มมูลค่า #GMP #HACCP #มาตรฐานCE #BONNY #ยย่งฮะเฮง #บดผง #เครื่องจักรอุตสาหกรรม #เทคโนโลยีการผลิต #เครื่องจักรคุณภาพ #การลงทุน #ธุรกิจอาหาร #นวัตกรรม #เครื่องจักรอุตสาหกรรมอาหาร #FoodProcessing #SugarGrinding
    🚀 ยกระดับการผลิตของคุณด้วยเครื่องบดแห้ง PULVERIZER 30B! 🚀 คุณกำลังมองหาโซลูชันเพื่อ เพิ่มมูลค่าให้วัตถุดิบ และขยายไลน์การผลิตใช่ไหม? โดยเฉพาะอย่างยิ่งสำหรับการ บดน้ำตาลทรายขาว ให้เป็นน้ำตาลไอซิ่ง หรือน้ำตาลผงละเอียด! เครื่องบดแห้ง Pulverizer 30B ของเราสามารถปรับใช้เพื่อบด น้ำตาลทรายขาว ให้ได้ขนาดอนุภาคที่สม่ำเสมอตามที่คุณต้องการ ไม่ว่าจะเป็นน้ำตาลไอซิ่งสำหรับเบเกอรี่ หรือน้ำตาลผงสำหรับอุตสาหกรรมอื่น ๆ ฟันตี 3 แบบ เลือกได้ตามใจ: สำหรับการบดน้ำตาลทรายขาว เราสามารถแนะนำฟันตีที่เหมาะสมเพื่อให้ได้ผลลัพธ์ที่ดีที่สุด: Pin Mill: เป็นตัวเลือกที่เหมาะที่สุดสำหรับการบดน้ำตาลทรายขาวให้เป็นผงละเอียดมาก หรือน้ำตาลไอซิ่ง เนื่องจากออกแบบมาเพื่อการบดที่ให้ความละเอียดสูง Hammer Mill: อาจใช้สำหรับการบดน้ำตาลทรายขาวให้มีความละเอียดปานกลาง หรือใช้เป็นขั้นตอนแรกในการลดขนาดก่อนจะบดละเอียดด้วย Pin Mill ควบคุมความละเอียดได้แม่นยำ: สามารถปรับเปลี่ยนตะแกรงให้เหมาะสมกับขนาดอนุภาคของน้ำตาลผงที่คุณต้องการ เพื่อให้ได้ผลลัพธ์ที่สม่ำเสมอและตรงตามมาตรฐานผลิตภัณฑ์ของคุณ มาตรฐานระดับโลก: มั่นใจในคุณภาพและความปลอดภัยด้วยมาตรฐาน ยุโรป CE และรองรับระบบ GMP, HACCP ซึ่งจำเป็นอย่างยิ่งสำหรับอุตสาหกรรมอาหาร ทดลองบดก่อนตัดสินใจ: ไม่ต้องเสี่ยง! นำวัตถุดิบของคุณ (น้ำตาลทรายขาว) มาทดลองบดกับเครื่องจริงได้เลย (กรุณาแจ้งล่วงหน้า) เพื่อให้คุณมั่นใจในประสิทธิภาพและผลลัพธ์ เปลี่ยนน้ำตาลทรายขาวให้เป็นน้ำตาลผงคุณภาพสูง สร้างโอกาสทางธุรกิจใหม่ๆ ได้อย่างไม่จำกัด! 📞 สนใจสอบถามเพิ่มเติม หรือนัดทดลองบดน้ำตาลทรายขาว? ติดต่อเราได้เลย! โทร: 081-318-9098, 02-2153515-9 อีเมล: yonghahheng@gmail.com, sales@yoryonghahheng.com แวะมาเยี่ยมชม: สำนักงานของเราตั้งอยู่ตรงข้ามจุฬาซอย 34 ถนนบรรทัดทอง (พระราม 6) ใกล้หัวลำโพง เลือกคุณภาพ เลือก BONNY – เครื่องจักรที่ตอบโจทย์ทุกความสำเร็จของคุณ! #เครื่องบด #เครื่องบดแห้ง #Pulverizer #เครื่องจักรแปรรูปอาหาร #เครื่องจักรโรงงาน #บดน้ำตาล #น้ำตาลไอซิ่ง #น้ำตาลผง #อุตสาหกรรมเบเกอรี่ #วัตถุดิบอาหาร #เครื่องจักรอาหาร #โรงงานผลิต #SMEไทย #เพิ่มมูลค่า #GMP #HACCP #มาตรฐานCE #BONNY #ยย่งฮะเฮง #บดผง #เครื่องจักรอุตสาหกรรม #เทคโนโลยีการผลิต #เครื่องจักรคุณภาพ #การลงทุน #ธุรกิจอาหาร #นวัตกรรม #เครื่องจักรอุตสาหกรรมอาหาร #FoodProcessing #SugarGrinding
    0 Comments 0 Shares 312 Views 0 Reviews
  • TSMC ยืนยันว่า ไม่จำเป็นต้องใช้เทคโนโลยี High-NA EUV สำหรับกระบวนการผลิตชิป 1.4nm-class โดยบริษัทสามารถพัฒนาเทคโนโลยีที่มีประสิทธิภาพสูงขึ้นโดยไม่ต้องพึ่งพาเครื่องมือลิเธียกราฟีรุ่นใหม่

    High-NA EUV เป็นเทคโนโลยีที่ช่วยให้การผลิตชิปมีความแม่นยำมากขึ้น โดย Intel เป็นบริษัทที่ผลักดันการใช้เทคโนโลยีนี้เพื่อช่วยลดจำนวนขั้นตอนการผลิต อย่างไรก็ตาม TSMC เชื่อว่าการพัฒนาโครงสร้างทรานซิสเตอร์แบบ nanosheet gate-all-around และ standard cell architecture สามารถเพิ่มประสิทธิภาพได้โดยไม่ต้องใช้ High-NA EUV

    ✅ ข้อมูลจากข่าว
    - TSMC ไม่ใช้ High-NA EUV สำหรับกระบวนการผลิต A16 (1.6nm-class) และ A14 (1.4nm-class)
    - A14 ให้ประสิทธิภาพสูงขึ้น 15% และลดการใช้พลังงานลง 25-30% เมื่อเทียบกับ N2
    - A14 เพิ่มความหนาแน่นของทรานซิสเตอร์ขึ้น 20-23%
    - A14 จะเริ่มผลิตในปี 2028 และจะมีรุ่นปรับปรุงในปี 2029
    - TSMC อาจใช้ High-NA EUV ในอนาคต แต่ยังไม่เห็นประโยชน์ที่ชัดเจนในตอนนี้

    ‼️ คำเตือนที่ควรพิจารณา
    - Intel กำลังใช้ High-NA EUV ในกระบวนการผลิต 14A ซึ่งอาจทำให้บริษัทมีข้อได้เปรียบด้านเทคโนโลยี
    - TSMC ต้องพัฒนาเทคนิคใหม่ เพื่อให้สามารถผลิตชิป 1.4nm-class ได้โดยไม่ต้องใช้ High-NA EUV
    - การใช้เทคโนโลยีที่แตกต่างกัน อาจส่งผลต่อการแข่งขันระหว่าง Intel และ TSMC ในอนาคต
    - ตลาดเซมิคอนดักเตอร์มีการแข่งขันสูง และต้องจับตาดูว่า TSMC จะสามารถรักษาความเป็นผู้นำได้หรือไม่

    TSMC ยังคงเดินหน้าพัฒนาเทคโนโลยีการผลิตชิปโดยไม่ต้องใช้ High-NA EUV ซึ่งเป็นแนวทางที่แตกต่างจาก Intel อย่างไรก็ตาม การแข่งขันในตลาดเซมิคอนดักเตอร์ยังคงเข้มข้น และต้องติดตามว่ากลยุทธ์นี้จะช่วยให้ TSMC รักษาความเป็นผู้นำได้หรือไม่

    https://www.tomshardware.com/tech-industry/semiconductors/tsmc-reiterates-it-doesnt-need-high-na-euv-for-1-4nm-class-process-technology
    TSMC ยืนยันว่า ไม่จำเป็นต้องใช้เทคโนโลยี High-NA EUV สำหรับกระบวนการผลิตชิป 1.4nm-class โดยบริษัทสามารถพัฒนาเทคโนโลยีที่มีประสิทธิภาพสูงขึ้นโดยไม่ต้องพึ่งพาเครื่องมือลิเธียกราฟีรุ่นใหม่ High-NA EUV เป็นเทคโนโลยีที่ช่วยให้การผลิตชิปมีความแม่นยำมากขึ้น โดย Intel เป็นบริษัทที่ผลักดันการใช้เทคโนโลยีนี้เพื่อช่วยลดจำนวนขั้นตอนการผลิต อย่างไรก็ตาม TSMC เชื่อว่าการพัฒนาโครงสร้างทรานซิสเตอร์แบบ nanosheet gate-all-around และ standard cell architecture สามารถเพิ่มประสิทธิภาพได้โดยไม่ต้องใช้ High-NA EUV ✅ ข้อมูลจากข่าว - TSMC ไม่ใช้ High-NA EUV สำหรับกระบวนการผลิต A16 (1.6nm-class) และ A14 (1.4nm-class) - A14 ให้ประสิทธิภาพสูงขึ้น 15% และลดการใช้พลังงานลง 25-30% เมื่อเทียบกับ N2 - A14 เพิ่มความหนาแน่นของทรานซิสเตอร์ขึ้น 20-23% - A14 จะเริ่มผลิตในปี 2028 และจะมีรุ่นปรับปรุงในปี 2029 - TSMC อาจใช้ High-NA EUV ในอนาคต แต่ยังไม่เห็นประโยชน์ที่ชัดเจนในตอนนี้ ‼️ คำเตือนที่ควรพิจารณา - Intel กำลังใช้ High-NA EUV ในกระบวนการผลิต 14A ซึ่งอาจทำให้บริษัทมีข้อได้เปรียบด้านเทคโนโลยี - TSMC ต้องพัฒนาเทคนิคใหม่ เพื่อให้สามารถผลิตชิป 1.4nm-class ได้โดยไม่ต้องใช้ High-NA EUV - การใช้เทคโนโลยีที่แตกต่างกัน อาจส่งผลต่อการแข่งขันระหว่าง Intel และ TSMC ในอนาคต - ตลาดเซมิคอนดักเตอร์มีการแข่งขันสูง และต้องจับตาดูว่า TSMC จะสามารถรักษาความเป็นผู้นำได้หรือไม่ TSMC ยังคงเดินหน้าพัฒนาเทคโนโลยีการผลิตชิปโดยไม่ต้องใช้ High-NA EUV ซึ่งเป็นแนวทางที่แตกต่างจาก Intel อย่างไรก็ตาม การแข่งขันในตลาดเซมิคอนดักเตอร์ยังคงเข้มข้น และต้องติดตามว่ากลยุทธ์นี้จะช่วยให้ TSMC รักษาความเป็นผู้นำได้หรือไม่ https://www.tomshardware.com/tech-industry/semiconductors/tsmc-reiterates-it-doesnt-need-high-na-euv-for-1-4nm-class-process-technology
    0 Comments 0 Shares 233 Views 0 Reviews
  • TSMC กำลังเปิด ศูนย์ออกแบบชิปแห่งแรกในยุโรป ที่เมืองมิวนิก ประเทศเยอรมนี เพื่อช่วยนักพัฒนาชิปในยุโรปปรับแต่งการออกแบบให้เหมาะสมกับเทคโนโลยีการผลิตของบริษัท โดยศูนย์นี้จะให้บริการตั้งแต่การช่วยพัฒนา ไมโครคอนโทรลเลอร์ (MCU) สำหรับอุตสาหกรรมยานยนต์ ไปจนถึงการปรับแต่งเทคโนโลยีการออกแบบ (DTCO) สำหรับ โปรเซสเซอร์ขั้นสูงที่ใช้ใน AI และ HPC

    TSMC มีศูนย์ออกแบบชิป 9 แห่งทั่วโลก ในแคนาดา จีน ญี่ปุ่น ไต้หวัน และสหรัฐฯ โดยศูนย์ในมิวนิกจะเป็นแห่งที่ 10 และเป็นแห่งแรกในยุโรป ซึ่งสะท้อนถึงการฟื้นตัวของอุตสาหกรรมเซมิคอนดักเตอร์ในยุโรป

    นอกจากนี้ TSMC ยังร่วมมือกับ Bosch, Infineon และ NXP ในการสร้างโรงงานผลิตชิปแห่งแรกในยุโรป ซึ่งจะสามารถผลิตชิปที่ใช้กระบวนการ 12nm และ 16nm โดยเน้นไปที่ MCU แต่ก็สามารถผลิตชิปประเภทอื่นได้

    ✅ ข้อมูลจากข่าว
    - TSMC เปิดศูนย์ออกแบบชิปแห่งแรกในยุโรป ที่เมืองมิวนิก เยอรมนี
    - ศูนย์นี้จะช่วยนักพัฒนาชิปปรับแต่งการออกแบบให้เหมาะกับเทคโนโลยีของ TSMC
    - ให้บริการตั้งแต่ MCU สำหรับอุตสาหกรรมยานยนต์ ไปจนถึง โปรเซสเซอร์ AI และ HPC
    - TSMC มีศูนย์ออกแบบชิป 9 แห่งทั่วโลก และศูนย์ในมิวนิกเป็นแห่งที่ 10
    - TSMC ร่วมมือกับ Bosch, Infineon และ NXP สร้างโรงงานผลิตชิปแห่งแรกในยุโรป

    ‼️ คำเตือนที่ควรพิจารณา
    - การออกแบบชิปต้องมีการปรับแต่งอย่างต่อเนื่อง เพื่อให้ได้ประสิทธิภาพสูงสุด
    - การผลิตชิปต้องใช้เทคโนโลยีที่ซับซ้อน และต้องทำงานร่วมกับลูกค้าอย่างใกล้ชิด
    - ตลาดเซมิคอนดักเตอร์ในยุโรปยังอยู่ในช่วงฟื้นตัว และต้องแข่งขันกับสหรัฐฯ และเอเชีย
    - TSMC ไม่ได้ออกแบบชิปเอง แต่ให้บริการปรับแต่งการออกแบบให้เหมาะกับการผลิต

    การเปิดศูนย์ออกแบบชิปในยุโรปของ TSMC เป็นก้าวสำคัญที่ช่วยให้นักพัฒนาชิปในยุโรปสามารถเข้าถึงเทคโนโลยีการผลิตระดับสูงได้ง่ายขึ้น อย่างไรก็ตาม การแข่งขันในอุตสาหกรรมเซมิคอนดักเตอร์ยังคงเข้มข้น และต้องจับตาดูว่าการลงทุนนี้จะช่วยให้ยุโรปสามารถแข่งขันกับตลาดอื่น ๆ ได้มากน้อยเพียงใด

    https://www.tomshardware.com/tech-industry/semiconductors/tsmc-to-open-up-chip-design-center-in-munich-to-help-local-chip-developers
    TSMC กำลังเปิด ศูนย์ออกแบบชิปแห่งแรกในยุโรป ที่เมืองมิวนิก ประเทศเยอรมนี เพื่อช่วยนักพัฒนาชิปในยุโรปปรับแต่งการออกแบบให้เหมาะสมกับเทคโนโลยีการผลิตของบริษัท โดยศูนย์นี้จะให้บริการตั้งแต่การช่วยพัฒนา ไมโครคอนโทรลเลอร์ (MCU) สำหรับอุตสาหกรรมยานยนต์ ไปจนถึงการปรับแต่งเทคโนโลยีการออกแบบ (DTCO) สำหรับ โปรเซสเซอร์ขั้นสูงที่ใช้ใน AI และ HPC TSMC มีศูนย์ออกแบบชิป 9 แห่งทั่วโลก ในแคนาดา จีน ญี่ปุ่น ไต้หวัน และสหรัฐฯ โดยศูนย์ในมิวนิกจะเป็นแห่งที่ 10 และเป็นแห่งแรกในยุโรป ซึ่งสะท้อนถึงการฟื้นตัวของอุตสาหกรรมเซมิคอนดักเตอร์ในยุโรป นอกจากนี้ TSMC ยังร่วมมือกับ Bosch, Infineon และ NXP ในการสร้างโรงงานผลิตชิปแห่งแรกในยุโรป ซึ่งจะสามารถผลิตชิปที่ใช้กระบวนการ 12nm และ 16nm โดยเน้นไปที่ MCU แต่ก็สามารถผลิตชิปประเภทอื่นได้ ✅ ข้อมูลจากข่าว - TSMC เปิดศูนย์ออกแบบชิปแห่งแรกในยุโรป ที่เมืองมิวนิก เยอรมนี - ศูนย์นี้จะช่วยนักพัฒนาชิปปรับแต่งการออกแบบให้เหมาะกับเทคโนโลยีของ TSMC - ให้บริการตั้งแต่ MCU สำหรับอุตสาหกรรมยานยนต์ ไปจนถึง โปรเซสเซอร์ AI และ HPC - TSMC มีศูนย์ออกแบบชิป 9 แห่งทั่วโลก และศูนย์ในมิวนิกเป็นแห่งที่ 10 - TSMC ร่วมมือกับ Bosch, Infineon และ NXP สร้างโรงงานผลิตชิปแห่งแรกในยุโรป ‼️ คำเตือนที่ควรพิจารณา - การออกแบบชิปต้องมีการปรับแต่งอย่างต่อเนื่อง เพื่อให้ได้ประสิทธิภาพสูงสุด - การผลิตชิปต้องใช้เทคโนโลยีที่ซับซ้อน และต้องทำงานร่วมกับลูกค้าอย่างใกล้ชิด - ตลาดเซมิคอนดักเตอร์ในยุโรปยังอยู่ในช่วงฟื้นตัว และต้องแข่งขันกับสหรัฐฯ และเอเชีย - TSMC ไม่ได้ออกแบบชิปเอง แต่ให้บริการปรับแต่งการออกแบบให้เหมาะกับการผลิต การเปิดศูนย์ออกแบบชิปในยุโรปของ TSMC เป็นก้าวสำคัญที่ช่วยให้นักพัฒนาชิปในยุโรปสามารถเข้าถึงเทคโนโลยีการผลิตระดับสูงได้ง่ายขึ้น อย่างไรก็ตาม การแข่งขันในอุตสาหกรรมเซมิคอนดักเตอร์ยังคงเข้มข้น และต้องจับตาดูว่าการลงทุนนี้จะช่วยให้ยุโรปสามารถแข่งขันกับตลาดอื่น ๆ ได้มากน้อยเพียงใด https://www.tomshardware.com/tech-industry/semiconductors/tsmc-to-open-up-chip-design-center-in-munich-to-help-local-chip-developers
    0 Comments 0 Shares 257 Views 0 Reviews
  • Huawei กำลังเดินหน้าสู่ตลาดชิป AI อย่างแข็งแกร่งด้วย Ascend 910C ซึ่งมีประสิทธิภาพเทียบเท่ากับ NVIDIA H100 และคาดว่าจะขายได้กว่า 700,000 หน่วยในปี 2025 แม้ว่ากระบวนการผลิตของ SMIC จะมีอัตราผลผลิตที่ค่อนข้างต่ำเพียง 30% ก็ตาม นอกจากนี้ Huawei ยังขยายเครือข่ายโรงงานผลิตชิปของตนเองในจีนเพื่อเพิ่มความสามารถในการผลิตและลดการพึ่งพาเทคโนโลยีจากต่างประเทศ

    ✅ Huawei เตรียมขาย Ascend 910C กว่า 700,000 หน่วยในปี 2025
    - ชิป Ascend 910C มีประสิทธิภาพสูงถึง 800 TFLOP/s ที่ FP16 และแบนด์วิดท์หน่วยความจำสูงสุด 3.2 TB/s
    - คาดว่าจะเริ่มวางจำหน่ายในจีนเร็วๆ นี้ หลังจากที่ SMIC เริ่มผลิตในปริมาณมาก

    ✅ SMIC ผลิตชิปด้วยกระบวนการ 7nm DUV แต่มีอัตราผลผลิตต่ำ
    - กระบวนการผลิตของ SMIC มีอัตราผลผลิตเพียง 30% ซึ่งอาจส่งผลต่อจำนวนชิปที่สามารถผลิตได้
    - Huawei พยายามลดผลกระทบโดยการขยายโรงงานผลิตชิปของตนเอง

    ✅ Huawei ขยายเครือข่ายโรงงานผลิตชิปในจีน
    - มีรายงานว่า Huawei ควบคุมโรงงานผลิตชิปถึง 11 แห่งทั่วประเทศจีน
    - โรงงานเหล่านี้ใช้ชื่อที่แตกต่างกันเพื่อปกปิดความเชื่อมโยงกับ Huawei

    ‼️ ข้อจำกัดด้านเทคโนโลยีอาจส่งผลต่อความสามารถในการแข่งขัน
    - แม้ว่าชิป Ascend 910C จะมีประสิทธิภาพสูง แต่กระบวนการผลิต 7nm DUV ของ SMIC ยังล้าหลังเทคโนโลยี EUV ของ TSMC และ Samsung
    - หาก Huawei ไม่สามารถพัฒนาเทคโนโลยีการผลิตที่ทันสมัยขึ้น อาจส่งผลต่อความสามารถในการแข่งขันในระยะยาว

    ‼️ มาตรการควบคุมการส่งออกของสหรัฐฯ อาจเป็นอุปสรรค
    - สหรัฐฯ ได้ออกข้อกำหนดใหม่ที่ห้ามใช้ชิป Ascend 910C ในต่างประเทศ เนื่องจากละเมิดกฎการส่งออกของสหรัฐฯ
    - มาตรการนี้อาจจำกัดการขยายตลาดของ Huawei นอกประเทศจีน

    https://wccftech.com/mizuho-huawei-will-likely-sell-over-700000-units-of-its-ascend-910-series-chips-in-2025-despite-smics-fairly-low-yields-of-30-percent/
    Huawei กำลังเดินหน้าสู่ตลาดชิป AI อย่างแข็งแกร่งด้วย Ascend 910C ซึ่งมีประสิทธิภาพเทียบเท่ากับ NVIDIA H100 และคาดว่าจะขายได้กว่า 700,000 หน่วยในปี 2025 แม้ว่ากระบวนการผลิตของ SMIC จะมีอัตราผลผลิตที่ค่อนข้างต่ำเพียง 30% ก็ตาม นอกจากนี้ Huawei ยังขยายเครือข่ายโรงงานผลิตชิปของตนเองในจีนเพื่อเพิ่มความสามารถในการผลิตและลดการพึ่งพาเทคโนโลยีจากต่างประเทศ ✅ Huawei เตรียมขาย Ascend 910C กว่า 700,000 หน่วยในปี 2025 - ชิป Ascend 910C มีประสิทธิภาพสูงถึง 800 TFLOP/s ที่ FP16 และแบนด์วิดท์หน่วยความจำสูงสุด 3.2 TB/s - คาดว่าจะเริ่มวางจำหน่ายในจีนเร็วๆ นี้ หลังจากที่ SMIC เริ่มผลิตในปริมาณมาก ✅ SMIC ผลิตชิปด้วยกระบวนการ 7nm DUV แต่มีอัตราผลผลิตต่ำ - กระบวนการผลิตของ SMIC มีอัตราผลผลิตเพียง 30% ซึ่งอาจส่งผลต่อจำนวนชิปที่สามารถผลิตได้ - Huawei พยายามลดผลกระทบโดยการขยายโรงงานผลิตชิปของตนเอง ✅ Huawei ขยายเครือข่ายโรงงานผลิตชิปในจีน - มีรายงานว่า Huawei ควบคุมโรงงานผลิตชิปถึง 11 แห่งทั่วประเทศจีน - โรงงานเหล่านี้ใช้ชื่อที่แตกต่างกันเพื่อปกปิดความเชื่อมโยงกับ Huawei ‼️ ข้อจำกัดด้านเทคโนโลยีอาจส่งผลต่อความสามารถในการแข่งขัน - แม้ว่าชิป Ascend 910C จะมีประสิทธิภาพสูง แต่กระบวนการผลิต 7nm DUV ของ SMIC ยังล้าหลังเทคโนโลยี EUV ของ TSMC และ Samsung - หาก Huawei ไม่สามารถพัฒนาเทคโนโลยีการผลิตที่ทันสมัยขึ้น อาจส่งผลต่อความสามารถในการแข่งขันในระยะยาว ‼️ มาตรการควบคุมการส่งออกของสหรัฐฯ อาจเป็นอุปสรรค - สหรัฐฯ ได้ออกข้อกำหนดใหม่ที่ห้ามใช้ชิป Ascend 910C ในต่างประเทศ เนื่องจากละเมิดกฎการส่งออกของสหรัฐฯ - มาตรการนี้อาจจำกัดการขยายตลาดของ Huawei นอกประเทศจีน https://wccftech.com/mizuho-huawei-will-likely-sell-over-700000-units-of-its-ascend-910-series-chips-in-2025-despite-smics-fairly-low-yields-of-30-percent/
    WCCFTECH.COM
    Mizuho: Huawei Will Likely Sell Over 700,000 Units Of Its Ascend 910 Series Chips In 2025, Despite SMIC's "Fairly Low" Yields Of ~30 Percent
    Huawei's Ascend 910C chips leverage SMIC's 7nm DUV-based production process, which suffers from "fairly low" yields.
    0 Comments 0 Shares 246 Views 0 Reviews
  • TSMC ทุ่มงบ 42 พันล้านดอลลาร์ ขยายโรงงานผลิตชิป 9 แห่งในปี 2025

    TSMC ผู้ผลิตเซมิคอนดักเตอร์รายใหญ่ของโลก ประกาศแผนลงทุนระหว่าง 38-42 พันล้านดอลลาร์ เพื่อขยายกำลังการผลิต โดยจะสร้าง โรงงานผลิตชิป 8 แห่ง และโรงงานบรรจุภัณฑ์ขั้นสูง 1 แห่ง ในปี 2025

    🔍 รายละเอียดสำคัญเกี่ยวกับแผนขยายโรงงานของ TSMC
    ✅ TSMC จะสร้างโรงงานใหม่ 9 แห่งในปี 2025
    - ประกอบด้วย 8 โรงงานผลิตเวเฟอร์ และ 1 โรงงานบรรจุภัณฑ์ขั้นสูง

    ✅ โรงงานใหม่จะตั้งอยู่ในไต้หวัน, สหรัฐฯ, ญี่ปุ่น และเยอรมนี
    - รวมถึง Fab 21 ในรัฐแอริโซนา และ Fab 24 ในเยอรมนี

    ✅ TSMC จะเริ่มผลิตชิป 2nm (N2) ใน Fab 20 และ Fab 22 ในไต้หวัน
    - พร้อมรองรับ เทคโนโลยี A16 (1.6nm) ในปี 2026

    ✅ โรงงาน Fab 25 ในไต้หวันจะผลิตชิป 1.4nm (A14) ในปี 2028
    - เป็นหนึ่งในโรงงานที่ใช้เทคโนโลยีการผลิตขั้นสูงที่สุดของ TSMC

    ✅ TSMC กำลังเพิ่มการใช้เครื่อง EUV รุ่นใหม่จาก ASML
    - เครื่อง Low-NA EUV มีราคาสูงถึง 235 ล้านดอลลาร์ต่อเครื่อง

    ✅ TSMC วางแผนใช้เครื่อง High-NA EUV ในอนาคต ซึ่งมีราคาสูงถึง 380 ล้านดอลลาร์ต่อเครื่อง
    - เพื่อ เพิ่มความแม่นยำในการผลิตชิปขั้นสูง

    https://www.tomshardware.com/tech-industry/semiconductors/tsmc-to-spend-usd42-billion-on-expansion-in-2025-ambitious-plans-detail-nine-production-facilities
    TSMC ทุ่มงบ 42 พันล้านดอลลาร์ ขยายโรงงานผลิตชิป 9 แห่งในปี 2025 TSMC ผู้ผลิตเซมิคอนดักเตอร์รายใหญ่ของโลก ประกาศแผนลงทุนระหว่าง 38-42 พันล้านดอลลาร์ เพื่อขยายกำลังการผลิต โดยจะสร้าง โรงงานผลิตชิป 8 แห่ง และโรงงานบรรจุภัณฑ์ขั้นสูง 1 แห่ง ในปี 2025 🔍 รายละเอียดสำคัญเกี่ยวกับแผนขยายโรงงานของ TSMC ✅ TSMC จะสร้างโรงงานใหม่ 9 แห่งในปี 2025 - ประกอบด้วย 8 โรงงานผลิตเวเฟอร์ และ 1 โรงงานบรรจุภัณฑ์ขั้นสูง ✅ โรงงานใหม่จะตั้งอยู่ในไต้หวัน, สหรัฐฯ, ญี่ปุ่น และเยอรมนี - รวมถึง Fab 21 ในรัฐแอริโซนา และ Fab 24 ในเยอรมนี ✅ TSMC จะเริ่มผลิตชิป 2nm (N2) ใน Fab 20 และ Fab 22 ในไต้หวัน - พร้อมรองรับ เทคโนโลยี A16 (1.6nm) ในปี 2026 ✅ โรงงาน Fab 25 ในไต้หวันจะผลิตชิป 1.4nm (A14) ในปี 2028 - เป็นหนึ่งในโรงงานที่ใช้เทคโนโลยีการผลิตขั้นสูงที่สุดของ TSMC ✅ TSMC กำลังเพิ่มการใช้เครื่อง EUV รุ่นใหม่จาก ASML - เครื่อง Low-NA EUV มีราคาสูงถึง 235 ล้านดอลลาร์ต่อเครื่อง ✅ TSMC วางแผนใช้เครื่อง High-NA EUV ในอนาคต ซึ่งมีราคาสูงถึง 380 ล้านดอลลาร์ต่อเครื่อง - เพื่อ เพิ่มความแม่นยำในการผลิตชิปขั้นสูง https://www.tomshardware.com/tech-industry/semiconductors/tsmc-to-spend-usd42-billion-on-expansion-in-2025-ambitious-plans-detail-nine-production-facilities
    0 Comments 0 Shares 204 Views 0 Reviews
  • AMD Zen 7: สถาปัตยกรรมใหม่ที่มาพร้อมสามประเภทของคอร์และเทคโนโลยีการผลิตขั้นสูง

    AMD กำลังพัฒนา Zen 7 ซึ่งเป็นสถาปัตยกรรมใหม่ที่มีการปรับปรุงหลายด้าน โดยมี สามประเภทของคอร์ ได้แก่ คอร์ประสิทธิภาพสูง, คอร์ความหนาแน่นสูง และคอร์พลังงานต่ำ เพื่อรองรับการใช้งานที่หลากหลาย นอกจากนี้ยังมีการใช้ TSMC A14 Node ซึ่งเป็นกระบวนการผลิตที่ล้ำหน้าพร้อมระบบ backside power delivery

    ✅ Zen 7 จะมีสามประเภทของคอร์เพื่อรองรับการใช้งานที่แตกต่างกัน
    - คอร์ประสิทธิภาพสูงสำหรับ งานที่ต้องการพลังประมวลผลสูง
    - คอร์ความหนาแน่นสูงสำหรับ งานที่ต้องการ throughput สูง
    - คอร์พลังงานต่ำสำหรับ งานที่ต้องการประหยัดพลังงาน

    ✅ ใช้กระบวนการผลิต TSMC A14 Node พร้อมระบบ backside power delivery
    - เดิมทีระบบนี้ถูกวางแผนให้ใช้กับ N2 Node แต่ถูกเปลี่ยนมาใช้กับ A16/A14

    ✅ ขนาดแคชเพิ่มขึ้น โดยแต่ละคอร์จะมี L2 Cache ขนาด 2MB และ L3 Cache สูงสุด 7MB
    - คอร์แบบมาตรฐานจะมี L3 Cache แบบแชร์ขนาด 12MB
    - คอร์ความหนาแน่นสูงอาจมี 16 คอร์ต่อ CCD พร้อม L3 Cache ขนาด 32MB

    ✅ มีข่าวลือว่า EPYC รุ่นใหม่อาจมี 264 คอร์ โดยใช้ 33 คอร์ต่อ CCD
    - หากเป็นจริง จะเป็นหนึ่งในโปรเซสเซอร์ที่มีจำนวนคอร์สูงที่สุดในตลาด

    ✅ Zen 7 คาดว่าจะเริ่มการผลิตในช่วงปลายปี 2026 หรือต้นปี 2027 และวางจำหน่ายในปี 2028
    - AMD ยังคงปรับแผนการพัฒนา และอาจมีการเปลี่ยนแปลงก่อนเปิดตัว

    ‼️ Zen 7 อาจต้องใช้ซ็อกเก็ตใหม่ เนื่องจากการเปลี่ยนแปลงโครงสร้างของ CCD และคอร์
    - ผู้ใช้ อาจต้องเปลี่ยนเมนบอร์ดหากต้องการอัปเกรดเป็น Zen 7

    https://www.techpowerup.com/336808/amd-zen-7-rumors-three-core-classes-2-mb-l2-7-mb-v-cache-and-tsmc-a14-node
    AMD Zen 7: สถาปัตยกรรมใหม่ที่มาพร้อมสามประเภทของคอร์และเทคโนโลยีการผลิตขั้นสูง AMD กำลังพัฒนา Zen 7 ซึ่งเป็นสถาปัตยกรรมใหม่ที่มีการปรับปรุงหลายด้าน โดยมี สามประเภทของคอร์ ได้แก่ คอร์ประสิทธิภาพสูง, คอร์ความหนาแน่นสูง และคอร์พลังงานต่ำ เพื่อรองรับการใช้งานที่หลากหลาย นอกจากนี้ยังมีการใช้ TSMC A14 Node ซึ่งเป็นกระบวนการผลิตที่ล้ำหน้าพร้อมระบบ backside power delivery ✅ Zen 7 จะมีสามประเภทของคอร์เพื่อรองรับการใช้งานที่แตกต่างกัน - คอร์ประสิทธิภาพสูงสำหรับ งานที่ต้องการพลังประมวลผลสูง - คอร์ความหนาแน่นสูงสำหรับ งานที่ต้องการ throughput สูง - คอร์พลังงานต่ำสำหรับ งานที่ต้องการประหยัดพลังงาน ✅ ใช้กระบวนการผลิต TSMC A14 Node พร้อมระบบ backside power delivery - เดิมทีระบบนี้ถูกวางแผนให้ใช้กับ N2 Node แต่ถูกเปลี่ยนมาใช้กับ A16/A14 ✅ ขนาดแคชเพิ่มขึ้น โดยแต่ละคอร์จะมี L2 Cache ขนาด 2MB และ L3 Cache สูงสุด 7MB - คอร์แบบมาตรฐานจะมี L3 Cache แบบแชร์ขนาด 12MB - คอร์ความหนาแน่นสูงอาจมี 16 คอร์ต่อ CCD พร้อม L3 Cache ขนาด 32MB ✅ มีข่าวลือว่า EPYC รุ่นใหม่อาจมี 264 คอร์ โดยใช้ 33 คอร์ต่อ CCD - หากเป็นจริง จะเป็นหนึ่งในโปรเซสเซอร์ที่มีจำนวนคอร์สูงที่สุดในตลาด ✅ Zen 7 คาดว่าจะเริ่มการผลิตในช่วงปลายปี 2026 หรือต้นปี 2027 และวางจำหน่ายในปี 2028 - AMD ยังคงปรับแผนการพัฒนา และอาจมีการเปลี่ยนแปลงก่อนเปิดตัว ‼️ Zen 7 อาจต้องใช้ซ็อกเก็ตใหม่ เนื่องจากการเปลี่ยนแปลงโครงสร้างของ CCD และคอร์ - ผู้ใช้ อาจต้องเปลี่ยนเมนบอร์ดหากต้องการอัปเกรดเป็น Zen 7 https://www.techpowerup.com/336808/amd-zen-7-rumors-three-core-classes-2-mb-l2-7-mb-v-cache-and-tsmc-a14-node
    WWW.TECHPOWERUP.COM
    AMD "Zen 7" Rumors: Three Core Classes, 2 MB L2, 7 MB V‑Cache, and TSMC A14 Node
    AMD is already looking ahead to its Zen 7 generation and is planning the final details for its next generation of Zen IP. The first hints come from YouTuber "Moore's Law Is Dead," which points to a few interesting decisions. AMD plans to extend its multi‑class core strategy that began with Zen 4c an...
    0 Comments 0 Shares 160 Views 0 Reviews
  • Intel คาดว่าแผนก Foundry จะถึงจุดคุ้มทุนในปี 2027 พร้อมเปิดตัวเทคโนโลยี 14A

    Intel กำลังลงทุนมหาศาลใน เทคโนโลยีการผลิตชิปและขยายกำลังการผลิต แม้ว่าปัจจุบันแผนก Foundry จะขาดทุนหลายพันล้านดอลลาร์ต่อไตรมาส แต่บริษัทคาดว่า จะถึงจุดคุ้มทุนในปี 2027 ซึ่งเป็นช่วงที่ เทคโนโลยีการผลิต 14A (1.4nm-class) จะเริ่มใช้งานจริง

    ✅ Intel คาดว่าแผนก Foundry จะถึงจุดคุ้มทุนในปี 2027
    - เป็นช่วงที่ เทคโนโลยี 14A จะเริ่มใช้งานจริง

    ✅ ชิปแรกที่ใช้กระบวนการผลิต 18A (1.8nm-class) คือ Panther Lake
    - จะเปิดตัว ปลายปีนี้และเริ่มผลิตเต็มรูปแบบในปีหน้า

    ✅ เทคโนโลยี 18A จะถูกใช้ใน Xeon 'Clearwater Forest' และผลิตภัณฑ์ของลูกค้าภายนอก
    - เป็น การพิสูจน์แนวคิดสำหรับลูกค้าภายนอก

    ✅ Intel วางแผนใช้ High-NA EUV lithography กับกระบวนการผลิต 14A
    - แม้ว่าจะเพิ่มต้นทุน แต่บริษัทเชื่อว่าข้อดีของเทคโนโลยีนี้จะคุ้มค่า

    ✅ Intel ตั้งเป้าให้ Foundry มีรายได้จากลูกค้าภายนอกระดับพันล้านดอลลาร์ต่อปี
    - รวมถึง รายได้จากแพ็กเกจขั้นสูงและกระบวนการผลิตรุ่นเก่า เช่น Intel 16

    ‼️ Intel ต้องพิสูจน์ความสามารถของเทคโนโลยี 18A ก่อนที่ลูกค้าจะยอมรับ 14A
    - หาก 18A ไม่ประสบความสำเร็จ ลูกค้าอาจลังเลที่จะใช้ 14A

    https://www.tomshardware.com/tech-industry/semiconductors/intel-says-foundry-business-wont-break-even-until-14a-in-2027
    Intel คาดว่าแผนก Foundry จะถึงจุดคุ้มทุนในปี 2027 พร้อมเปิดตัวเทคโนโลยี 14A Intel กำลังลงทุนมหาศาลใน เทคโนโลยีการผลิตชิปและขยายกำลังการผลิต แม้ว่าปัจจุบันแผนก Foundry จะขาดทุนหลายพันล้านดอลลาร์ต่อไตรมาส แต่บริษัทคาดว่า จะถึงจุดคุ้มทุนในปี 2027 ซึ่งเป็นช่วงที่ เทคโนโลยีการผลิต 14A (1.4nm-class) จะเริ่มใช้งานจริง ✅ Intel คาดว่าแผนก Foundry จะถึงจุดคุ้มทุนในปี 2027 - เป็นช่วงที่ เทคโนโลยี 14A จะเริ่มใช้งานจริง ✅ ชิปแรกที่ใช้กระบวนการผลิต 18A (1.8nm-class) คือ Panther Lake - จะเปิดตัว ปลายปีนี้และเริ่มผลิตเต็มรูปแบบในปีหน้า ✅ เทคโนโลยี 18A จะถูกใช้ใน Xeon 'Clearwater Forest' และผลิตภัณฑ์ของลูกค้าภายนอก - เป็น การพิสูจน์แนวคิดสำหรับลูกค้าภายนอก ✅ Intel วางแผนใช้ High-NA EUV lithography กับกระบวนการผลิต 14A - แม้ว่าจะเพิ่มต้นทุน แต่บริษัทเชื่อว่าข้อดีของเทคโนโลยีนี้จะคุ้มค่า ✅ Intel ตั้งเป้าให้ Foundry มีรายได้จากลูกค้าภายนอกระดับพันล้านดอลลาร์ต่อปี - รวมถึง รายได้จากแพ็กเกจขั้นสูงและกระบวนการผลิตรุ่นเก่า เช่น Intel 16 ‼️ Intel ต้องพิสูจน์ความสามารถของเทคโนโลยี 18A ก่อนที่ลูกค้าจะยอมรับ 14A - หาก 18A ไม่ประสบความสำเร็จ ลูกค้าอาจลังเลที่จะใช้ 14A https://www.tomshardware.com/tech-industry/semiconductors/intel-says-foundry-business-wont-break-even-until-14a-in-2027
    0 Comments 0 Shares 210 Views 0 Reviews
  • Space Forge เตรียมเปิดตัวดาวเทียมผลิตเซมิคอนดักเตอร์ในอวกาศปี 2025

    Space Forge บริษัทสตาร์ทอัพจากสหราชอาณาจักร ได้รับเงินทุน 30 ล้านดอลลาร์ ในรอบ Series A เพื่อพัฒนา ดาวเทียม ForgeStar-1 และ ForgeStar-2 สำหรับการผลิตวัสดุที่ไม่สามารถสร้างบนโลกได้ โดยใช้ สภาวะไร้น้ำหนัก, สูญญากาศ และอุณหภูมิที่แตกต่างกันสุดขั้วในอวกาศ

    ✅ Space Forge ได้รับเงินทุน 30 ล้านดอลลาร์เพื่อพัฒนาเทคโนโลยีการผลิตในอวกาศ
    - เป็น เงินทุนรอบ Series A ที่สูงที่สุดสำหรับบริษัทเทคโนโลยีอวกาศในสหราชอาณาจักร

    ✅ ดาวเทียม ForgeStar-1 จะเป็นดาวเทียมผลิตวัสดุที่สามารถนำกลับมาใช้ใหม่ได้
    - ช่วยให้ สามารถทำการทดลองและผลิตวัสดุในอวกาศได้อย่างต่อเนื่อง

    ✅ วัสดุที่ผลิตในอวกาศมีศักยภาพในการปรับปรุงเซมิคอนดักเตอร์และคอมพิวเตอร์ควอนตัม
    - อาจช่วย ลดการปล่อย CO2 ได้ถึง 75% ในโครงสร้างพื้นฐาน เช่น ศูนย์ข้อมูล

    ✅ Space Forge Inc. ในสหรัฐฯ ตั้งเป้าปฏิวัติการผลิตเซมิคอนดักเตอร์ภายในประเทศ
    - สอดคล้องกับ CHIPS and Science Act เพื่อเสริมความมั่นคงของห่วงโซ่อุปทาน

    ✅ ดาวเทียม ForgeStar-1 จะเริ่มภารกิจทดสอบในวงโคจรครั้งแรกในปี 2025
    - เป็นก้าวสำคัญในการพัฒนาแพลตฟอร์มการผลิตในอวกาศที่สามารถใช้งานซ้ำได้

    ‼️ การผลิตในอวกาศต้องเผชิญกับความท้าทายด้านต้นทุนและเทคโนโลยี
    - ต้องติดตามว่า Space Forge จะสามารถทำให้โครงการนี้คุ้มค่าทางเศรษฐกิจได้หรือไม่

    ‼️ ความเสี่ยงด้านภูมิรัฐศาสตร์อาจส่งผลต่อห่วงโซ่อุปทานเซมิคอนดักเตอร์
    - การพึ่งพาไต้หวันในปัจจุบัน อาจมีผลกระทบหากเกิดความขัดแย้งทางการเมือง

    https://www.tomshardware.com/tech-industry/semiconductors/space-forge-to-pioneer-semiconductor-manufacturing-in-space-with-first-satellite-launch-in-2025
    Space Forge เตรียมเปิดตัวดาวเทียมผลิตเซมิคอนดักเตอร์ในอวกาศปี 2025 Space Forge บริษัทสตาร์ทอัพจากสหราชอาณาจักร ได้รับเงินทุน 30 ล้านดอลลาร์ ในรอบ Series A เพื่อพัฒนา ดาวเทียม ForgeStar-1 และ ForgeStar-2 สำหรับการผลิตวัสดุที่ไม่สามารถสร้างบนโลกได้ โดยใช้ สภาวะไร้น้ำหนัก, สูญญากาศ และอุณหภูมิที่แตกต่างกันสุดขั้วในอวกาศ ✅ Space Forge ได้รับเงินทุน 30 ล้านดอลลาร์เพื่อพัฒนาเทคโนโลยีการผลิตในอวกาศ - เป็น เงินทุนรอบ Series A ที่สูงที่สุดสำหรับบริษัทเทคโนโลยีอวกาศในสหราชอาณาจักร ✅ ดาวเทียม ForgeStar-1 จะเป็นดาวเทียมผลิตวัสดุที่สามารถนำกลับมาใช้ใหม่ได้ - ช่วยให้ สามารถทำการทดลองและผลิตวัสดุในอวกาศได้อย่างต่อเนื่อง ✅ วัสดุที่ผลิตในอวกาศมีศักยภาพในการปรับปรุงเซมิคอนดักเตอร์และคอมพิวเตอร์ควอนตัม - อาจช่วย ลดการปล่อย CO2 ได้ถึง 75% ในโครงสร้างพื้นฐาน เช่น ศูนย์ข้อมูล ✅ Space Forge Inc. ในสหรัฐฯ ตั้งเป้าปฏิวัติการผลิตเซมิคอนดักเตอร์ภายในประเทศ - สอดคล้องกับ CHIPS and Science Act เพื่อเสริมความมั่นคงของห่วงโซ่อุปทาน ✅ ดาวเทียม ForgeStar-1 จะเริ่มภารกิจทดสอบในวงโคจรครั้งแรกในปี 2025 - เป็นก้าวสำคัญในการพัฒนาแพลตฟอร์มการผลิตในอวกาศที่สามารถใช้งานซ้ำได้ ‼️ การผลิตในอวกาศต้องเผชิญกับความท้าทายด้านต้นทุนและเทคโนโลยี - ต้องติดตามว่า Space Forge จะสามารถทำให้โครงการนี้คุ้มค่าทางเศรษฐกิจได้หรือไม่ ‼️ ความเสี่ยงด้านภูมิรัฐศาสตร์อาจส่งผลต่อห่วงโซ่อุปทานเซมิคอนดักเตอร์ - การพึ่งพาไต้หวันในปัจจุบัน อาจมีผลกระทบหากเกิดความขัดแย้งทางการเมือง https://www.tomshardware.com/tech-industry/semiconductors/space-forge-to-pioneer-semiconductor-manufacturing-in-space-with-first-satellite-launch-in-2025
    0 Comments 0 Shares 200 Views 0 Reviews
  • TSMC กำลังปรับกลยุทธ์เพื่อรองรับความต้องการที่หลากหลายของอุตสาหกรรมเซมิคอนดักเตอร์ โดย Kevin Zhang รองประธานอาวุโสของบริษัทเปิดเผยว่า TSMC จะนำเสนอเทคโนโลยีการผลิตที่แตกต่างกันสำหรับแต่ละกลุ่มผลิตภัณฑ์ เช่น AI, HPC และอุปกรณ์ผู้บริโภค

    ในอดีต อุตสาหกรรมเซมิคอนดักเตอร์ขับเคลื่อนโดย โปรเซสเซอร์สำหรับพีซี แต่เมื่อสมาร์ทโฟนเข้ามามีบทบาท เทคโนโลยีการผลิตก็ต้องปรับตัวให้เหมาะสมกับ SoC สำหรับมือถือ และปัจจุบัน AI และ HPC กำลังกลายเป็นกลุ่มที่ต้องการเทคโนโลยีล้ำหน้าที่สุด

    TSMC วางแผนที่จะนำเสนอ N3P, N2, N2P และ A14 สำหรับอุปกรณ์มือถือและพีซี ซึ่งเน้นประสิทธิภาพต่อวัตต์โดยไม่ต้องใช้ backside power delivery ขณะที่ A16 และ A14P จะถูกออกแบบมาสำหรับ AI และ HPC โดยใช้ Super Power Rail Backside Power Delivery Network (BSPDN)

    นอกจากนี้ TSMC กำลังขยายเทคโนโลยี multi-chiplet packaging เพื่อรองรับการใช้งานในศูนย์ข้อมูล โดยมีการพัฒนา silicon photonics และ embedded power components เพื่อสร้างระบบที่มีแบนด์วิดธ์สูงและประหยัดพลังงาน

    ✅ การปรับตัวของ TSMC ต่อความต้องการของตลาด
    - นำเสนอเทคโนโลยีการผลิตที่แตกต่างกันสำหรับ AI, HPC และอุปกรณ์ผู้บริโภค
    - ขยายเทคโนโลยี multi-chiplet packaging เพื่อรองรับศูนย์ข้อมูล

    ✅ เทคโนโลยีสำหรับอุปกรณ์มือถือและพีซี
    - ใช้ N3P, N2, N2P และ A14 ซึ่งเน้นประสิทธิภาพต่อวัตต์
    - ไม่ต้องใช้ backside power delivery

    ✅ เทคโนโลยีสำหรับ AI และ HPC
    - ใช้ A16 และ A14P พร้อม Super Power Rail Backside Power Delivery Network (BSPDN)
    - รองรับการใช้พลังงานสูงในศูนย์ข้อมูล

    ✅ การพัฒนา multi-chiplet packaging
    - รวม silicon photonics และ embedded power components
    - สร้างระบบที่มีแบนด์วิดธ์สูงและประหยัดพลังงาน

    https://www.tomshardware.com/tech-industry/semiconductors/tsmc-svp-kevin-zhang-opens-up-on-process-technology-development-and-evolving-demands-interview
    TSMC กำลังปรับกลยุทธ์เพื่อรองรับความต้องการที่หลากหลายของอุตสาหกรรมเซมิคอนดักเตอร์ โดย Kevin Zhang รองประธานอาวุโสของบริษัทเปิดเผยว่า TSMC จะนำเสนอเทคโนโลยีการผลิตที่แตกต่างกันสำหรับแต่ละกลุ่มผลิตภัณฑ์ เช่น AI, HPC และอุปกรณ์ผู้บริโภค ในอดีต อุตสาหกรรมเซมิคอนดักเตอร์ขับเคลื่อนโดย โปรเซสเซอร์สำหรับพีซี แต่เมื่อสมาร์ทโฟนเข้ามามีบทบาท เทคโนโลยีการผลิตก็ต้องปรับตัวให้เหมาะสมกับ SoC สำหรับมือถือ และปัจจุบัน AI และ HPC กำลังกลายเป็นกลุ่มที่ต้องการเทคโนโลยีล้ำหน้าที่สุด TSMC วางแผนที่จะนำเสนอ N3P, N2, N2P และ A14 สำหรับอุปกรณ์มือถือและพีซี ซึ่งเน้นประสิทธิภาพต่อวัตต์โดยไม่ต้องใช้ backside power delivery ขณะที่ A16 และ A14P จะถูกออกแบบมาสำหรับ AI และ HPC โดยใช้ Super Power Rail Backside Power Delivery Network (BSPDN) นอกจากนี้ TSMC กำลังขยายเทคโนโลยี multi-chiplet packaging เพื่อรองรับการใช้งานในศูนย์ข้อมูล โดยมีการพัฒนา silicon photonics และ embedded power components เพื่อสร้างระบบที่มีแบนด์วิดธ์สูงและประหยัดพลังงาน ✅ การปรับตัวของ TSMC ต่อความต้องการของตลาด - นำเสนอเทคโนโลยีการผลิตที่แตกต่างกันสำหรับ AI, HPC และอุปกรณ์ผู้บริโภค - ขยายเทคโนโลยี multi-chiplet packaging เพื่อรองรับศูนย์ข้อมูล ✅ เทคโนโลยีสำหรับอุปกรณ์มือถือและพีซี - ใช้ N3P, N2, N2P และ A14 ซึ่งเน้นประสิทธิภาพต่อวัตต์ - ไม่ต้องใช้ backside power delivery ✅ เทคโนโลยีสำหรับ AI และ HPC - ใช้ A16 และ A14P พร้อม Super Power Rail Backside Power Delivery Network (BSPDN) - รองรับการใช้พลังงานสูงในศูนย์ข้อมูล ✅ การพัฒนา multi-chiplet packaging - รวม silicon photonics และ embedded power components - สร้างระบบที่มีแบนด์วิดธ์สูงและประหยัดพลังงาน https://www.tomshardware.com/tech-industry/semiconductors/tsmc-svp-kevin-zhang-opens-up-on-process-technology-development-and-evolving-demands-interview
    0 Comments 0 Shares 288 Views 0 Reviews
  • TSMC ได้เริ่มก่อสร้างโรงงานผลิตชิปแห่งใหม่ในสหรัฐฯ ซึ่งเป็นส่วนหนึ่งของโครงการ Fab 21 phase 3 ที่ตั้งอยู่ในเมืองฟีนิกซ์ รัฐแอริโซนา โรงงานนี้จะสามารถผลิตชิปด้วยเทคโนโลยี N2, N2P (2nm-class) และ A16 (1.6nm-class) เมื่อเสร็จสมบูรณ์ระหว่างปี 2028-2030

    การลงทุนครั้งนี้เป็นส่วนหนึ่งของแผน มูลค่า 165 พันล้านดอลลาร์ ที่ TSMC ประกาศในเดือนมีนาคม โดย Fab 21 จะมีทั้งหมด 6 โมดูล ซึ่งโมดูลที่ 3 และ 4 จะใช้เทคโนโลยี 2nm-class ส่วนโมดูลที่ 5 และ 6 จะใช้เทคโนโลยีที่ล้ำหน้ากว่า เช่น A14 (1.4nm-class)

    อย่างไรก็ตาม รัฐบาลไต้หวันได้ออกกฎหมายใหม่ที่กำหนดให้ TSMC ต้องได้รับอนุญาตก่อนที่จะลงทุนในโครงการต่างประเทศ และไม่สามารถส่งออกเทคโนโลยีการผลิตที่ล้ำหน้าที่สุดไปยังโรงงานในต่างประเทศได้ ซึ่งอาจส่งผลกระทบต่อความสามารถในการแข่งขันของ TSMC

    นอกจากนี้ รัฐบาลสหรัฐฯ กำลังพิจารณาเงื่อนไขในการให้เงินสนับสนุนภายใต้ CHIPS and Science Act โดยอาจกำหนดให้บริษัทต่างชาติที่ต้องการรับเงินสนับสนุนต้องเพิ่มการลงทุนในสหรัฐฯ

    ✅ การก่อสร้าง Fab 21 phase 3
    - ตั้งอยู่ในเมืองฟีนิกซ์ รัฐแอริโซนา
    - จะสามารถผลิตชิปด้วยเทคโนโลยี N2, N2P และ A16

    ✅ แผนการลงทุนของ TSMC
    - มูลค่า 165 พันล้านดอลลาร์
    - Fab 21 จะมีทั้งหมด 6 โมดูล

    ✅ ข้อจำกัดจากรัฐบาลไต้หวัน
    - TSMC ต้องได้รับอนุญาตก่อนลงทุนในต่างประเทศ
    - ไม่สามารถส่งออกเทคโนโลยีการผลิตที่ล้ำหน้าที่สุดไปยังโรงงานในต่างประเทศ

    ✅ การสนับสนุนจากรัฐบาลสหรัฐฯ
    - อาจกำหนดเงื่อนไขให้บริษัทต่างชาติที่ต้องการรับเงินสนับสนุนต้องเพิ่มการลงทุนในสหรัฐฯ

    https://www.tomshardware.com/tech-industry/semiconductors/tsmc-starts-construction-its-1-6nm-and-2nm-capable-u-s-fab-fab-21-phase-3
    TSMC ได้เริ่มก่อสร้างโรงงานผลิตชิปแห่งใหม่ในสหรัฐฯ ซึ่งเป็นส่วนหนึ่งของโครงการ Fab 21 phase 3 ที่ตั้งอยู่ในเมืองฟีนิกซ์ รัฐแอริโซนา โรงงานนี้จะสามารถผลิตชิปด้วยเทคโนโลยี N2, N2P (2nm-class) และ A16 (1.6nm-class) เมื่อเสร็จสมบูรณ์ระหว่างปี 2028-2030 การลงทุนครั้งนี้เป็นส่วนหนึ่งของแผน มูลค่า 165 พันล้านดอลลาร์ ที่ TSMC ประกาศในเดือนมีนาคม โดย Fab 21 จะมีทั้งหมด 6 โมดูล ซึ่งโมดูลที่ 3 และ 4 จะใช้เทคโนโลยี 2nm-class ส่วนโมดูลที่ 5 และ 6 จะใช้เทคโนโลยีที่ล้ำหน้ากว่า เช่น A14 (1.4nm-class) อย่างไรก็ตาม รัฐบาลไต้หวันได้ออกกฎหมายใหม่ที่กำหนดให้ TSMC ต้องได้รับอนุญาตก่อนที่จะลงทุนในโครงการต่างประเทศ และไม่สามารถส่งออกเทคโนโลยีการผลิตที่ล้ำหน้าที่สุดไปยังโรงงานในต่างประเทศได้ ซึ่งอาจส่งผลกระทบต่อความสามารถในการแข่งขันของ TSMC นอกจากนี้ รัฐบาลสหรัฐฯ กำลังพิจารณาเงื่อนไขในการให้เงินสนับสนุนภายใต้ CHIPS and Science Act โดยอาจกำหนดให้บริษัทต่างชาติที่ต้องการรับเงินสนับสนุนต้องเพิ่มการลงทุนในสหรัฐฯ ✅ การก่อสร้าง Fab 21 phase 3 - ตั้งอยู่ในเมืองฟีนิกซ์ รัฐแอริโซนา - จะสามารถผลิตชิปด้วยเทคโนโลยี N2, N2P และ A16 ✅ แผนการลงทุนของ TSMC - มูลค่า 165 พันล้านดอลลาร์ - Fab 21 จะมีทั้งหมด 6 โมดูล ✅ ข้อจำกัดจากรัฐบาลไต้หวัน - TSMC ต้องได้รับอนุญาตก่อนลงทุนในต่างประเทศ - ไม่สามารถส่งออกเทคโนโลยีการผลิตที่ล้ำหน้าที่สุดไปยังโรงงานในต่างประเทศ ✅ การสนับสนุนจากรัฐบาลสหรัฐฯ - อาจกำหนดเงื่อนไขให้บริษัทต่างชาติที่ต้องการรับเงินสนับสนุนต้องเพิ่มการลงทุนในสหรัฐฯ https://www.tomshardware.com/tech-industry/semiconductors/tsmc-starts-construction-its-1-6nm-and-2nm-capable-u-s-fab-fab-21-phase-3
    0 Comments 0 Shares 188 Views 0 Reviews
  • Ansys ได้ประกาศว่าโซลูชันการวิเคราะห์ความร้อนและมัลติฟิสิกส์ของบริษัทได้รับการรับรองสำหรับการออกแบบที่ใช้เทคโนโลยีการผลิต Intel 18A และ 3D-IC โดยโซลูชันเหล่านี้ช่วยให้มั่นใจในความน่าเชื่อถือและประสิทธิภาพของระบบเซมิคอนดักเตอร์ขั้นสูง เช่น ชิป AI, GPU และผลิตภัณฑ์ HPC

    Ansys ยังได้เปิดตัว HFSS-IC Pro ซึ่งเป็นเครื่องมือใหม่ในตระกูล HFSS-IC ที่ได้รับการรับรองสำหรับการวิเคราะห์ความสมบูรณ์ของคลื่นแม่เหล็กไฟฟ้าบนชิปในแอปพลิเคชัน RF, Wi-Fi, 5G/6G และการสื่อสารโทรคมนาคมอื่นๆ ที่ใช้กระบวนการผลิต Intel 18A

    นอกจากนี้ Ansys และ Intel Foundry ยังได้ขยายความร่วมมือไปยังเทคโนโลยี EMIB-T รุ่นถัดไป ซึ่งเพิ่มการเชื่อมต่อ TSVs (Through-Silicon Vias) เพื่อเพิ่มประสิทธิภาพและความยืดหยุ่นในการออกแบบชิปแบบหลายชั้น

    ✅ การรับรองสำหรับ Intel 18A และ 3D-IC
    - โซลูชัน RedHawk-SC และ Totem ช่วยวิเคราะห์ความสมบูรณ์ของพลังงานและความน่าเชื่อถือ
    - HFSS-IC Pro รองรับการวิเคราะห์ความสมบูรณ์ของคลื่นแม่เหล็กไฟฟ้าบนชิป

    ✅ การพัฒนาเทคโนโลยี EMIB-T
    - เพิ่มการเชื่อมต่อ TSVs เพื่อเพิ่มประสิทธิภาพและความยืดหยุ่น
    - รองรับการออกแบบชิปแบบหลายชั้นสำหรับระบบประมวลผลขั้นสูง

    ✅ การเข้าร่วม Intel Foundry Chiplet Alliance
    - Ansys เข้าร่วมเพื่อสนับสนุนการออกแบบและการผลิตชิปเล็ตร่วมกัน
    - ช่วยสร้างระบบนิเวศที่ปลอดภัยสำหรับการออกแบบชิปเล็ตร่วม

    ✅ การสนับสนุนการออกแบบชิปขั้นสูง
    - โซลูชันของ Ansys ช่วยลดต้นทุนและเพิ่มความแม่นยำในการออกแบบ

    https://www.techpowerup.com/336099/ansys-thermal-and-multiphysics-solutions-certified-for-intel-18a-process-and-3d-ic-designs
    Ansys ได้ประกาศว่าโซลูชันการวิเคราะห์ความร้อนและมัลติฟิสิกส์ของบริษัทได้รับการรับรองสำหรับการออกแบบที่ใช้เทคโนโลยีการผลิต Intel 18A และ 3D-IC โดยโซลูชันเหล่านี้ช่วยให้มั่นใจในความน่าเชื่อถือและประสิทธิภาพของระบบเซมิคอนดักเตอร์ขั้นสูง เช่น ชิป AI, GPU และผลิตภัณฑ์ HPC Ansys ยังได้เปิดตัว HFSS-IC Pro ซึ่งเป็นเครื่องมือใหม่ในตระกูล HFSS-IC ที่ได้รับการรับรองสำหรับการวิเคราะห์ความสมบูรณ์ของคลื่นแม่เหล็กไฟฟ้าบนชิปในแอปพลิเคชัน RF, Wi-Fi, 5G/6G และการสื่อสารโทรคมนาคมอื่นๆ ที่ใช้กระบวนการผลิต Intel 18A นอกจากนี้ Ansys และ Intel Foundry ยังได้ขยายความร่วมมือไปยังเทคโนโลยี EMIB-T รุ่นถัดไป ซึ่งเพิ่มการเชื่อมต่อ TSVs (Through-Silicon Vias) เพื่อเพิ่มประสิทธิภาพและความยืดหยุ่นในการออกแบบชิปแบบหลายชั้น ✅ การรับรองสำหรับ Intel 18A และ 3D-IC - โซลูชัน RedHawk-SC และ Totem ช่วยวิเคราะห์ความสมบูรณ์ของพลังงานและความน่าเชื่อถือ - HFSS-IC Pro รองรับการวิเคราะห์ความสมบูรณ์ของคลื่นแม่เหล็กไฟฟ้าบนชิป ✅ การพัฒนาเทคโนโลยี EMIB-T - เพิ่มการเชื่อมต่อ TSVs เพื่อเพิ่มประสิทธิภาพและความยืดหยุ่น - รองรับการออกแบบชิปแบบหลายชั้นสำหรับระบบประมวลผลขั้นสูง ✅ การเข้าร่วม Intel Foundry Chiplet Alliance - Ansys เข้าร่วมเพื่อสนับสนุนการออกแบบและการผลิตชิปเล็ตร่วมกัน - ช่วยสร้างระบบนิเวศที่ปลอดภัยสำหรับการออกแบบชิปเล็ตร่วม ✅ การสนับสนุนการออกแบบชิปขั้นสูง - โซลูชันของ Ansys ช่วยลดต้นทุนและเพิ่มความแม่นยำในการออกแบบ https://www.techpowerup.com/336099/ansys-thermal-and-multiphysics-solutions-certified-for-intel-18a-process-and-3d-ic-designs
    WWW.TECHPOWERUP.COM
    Ansys Thermal and Multiphysics Solutions Certified for Intel 18A Process and 3D-IC Designs
    Ansys today announced thermal and multiphysics signoff tool certifications for designs manufactured with Intel 18A process technology. These certifications help ensure functionality and reliability of advanced semiconductor systems for the most demanding applications—including AI chips, graphic proc...
    0 Comments 0 Shares 200 Views 0 Reviews
  • Huawei ได้เปิดตัวโปรเซสเซอร์ AI รุ่นใหม่ Ascend 910D ซึ่งมีเป้าหมายเพื่อแข่งขันกับ GPU รุ่น Blackwell และ Rubin ของ Nvidia โดย Ascend 910D ถูกออกแบบมาให้มีประสิทธิภาพสูงกว่า Nvidia H100 ในการประมวลผล AI แม้ว่าในระดับชิปเดี่ยวจะยังช้ากว่า Blackwell B200 และ B300 รวมถึง Rubin GPUs ที่จะเปิดตัวในปีหน้า

    Huawei ใช้กลยุทธ์การสร้างระบบที่มีโปรเซสเซอร์หลายร้อยตัวเพื่อเพิ่มประสิทธิภาพในระดับระบบ โดย Ascend 910D จะเริ่มการทดสอบในเดือนพฤษภาคม และมีแผนการจัดส่งโปรเซสเซอร์ Ascend 910C แบบสองชิปให้กับลูกค้าชาวจีนในเดือนหน้า

    อย่างไรก็ตาม Huawei ยังคงเผชิญกับความท้าทายในการพัฒนาชิปที่สามารถแข่งขันกับ Nvidia ได้ในระดับประสิทธิภาพต่อวัตต์ เนื่องจากข้อจำกัดด้านเทคโนโลยีการผลิตที่เกิดจากการคว่ำบาตรของสหรัฐฯ

    ✅ การเปิดตัวโปรเซสเซอร์ Ascend 910D
    - Ascend 910D ถูกออกแบบมาให้มีประสิทธิภาพสูงกว่า Nvidia H100
    - ใช้กลยุทธ์การสร้างระบบที่มีโปรเซสเซอร์หลายร้อยตัว

    ✅ การทดสอบและการจัดส่ง
    - Ascend 910D จะเริ่มการทดสอบในเดือนพฤษภาคม
    - Ascend 910C แบบสองชิปจะเริ่มจัดส่งในเดือนหน้า

    ✅ ความสำคัญของ Ascend 910D
    - เป็นโปรเซสเซอร์ AI ที่มีเป้าหมายเพื่อแข่งขันกับ Nvidia ในตลาดจีน
    - มีบทบาทสำคัญในการพัฒนา AI ในประเทศจีน

    ✅ ข้อจำกัดด้านเทคโนโลยีการผลิต
    - Huawei เผชิญกับข้อจำกัดด้านเทคโนโลยีการผลิตจากการคว่ำบาตรของสหรัฐฯ

    https://www.tomshardware.com/tech-industry/artificial-intelligence/huawei-ascend-ai-910d-processor-designed-to-take-on-nvidias-blackwell-and-rubin-gpus
    Huawei ได้เปิดตัวโปรเซสเซอร์ AI รุ่นใหม่ Ascend 910D ซึ่งมีเป้าหมายเพื่อแข่งขันกับ GPU รุ่น Blackwell และ Rubin ของ Nvidia โดย Ascend 910D ถูกออกแบบมาให้มีประสิทธิภาพสูงกว่า Nvidia H100 ในการประมวลผล AI แม้ว่าในระดับชิปเดี่ยวจะยังช้ากว่า Blackwell B200 และ B300 รวมถึง Rubin GPUs ที่จะเปิดตัวในปีหน้า Huawei ใช้กลยุทธ์การสร้างระบบที่มีโปรเซสเซอร์หลายร้อยตัวเพื่อเพิ่มประสิทธิภาพในระดับระบบ โดย Ascend 910D จะเริ่มการทดสอบในเดือนพฤษภาคม และมีแผนการจัดส่งโปรเซสเซอร์ Ascend 910C แบบสองชิปให้กับลูกค้าชาวจีนในเดือนหน้า อย่างไรก็ตาม Huawei ยังคงเผชิญกับความท้าทายในการพัฒนาชิปที่สามารถแข่งขันกับ Nvidia ได้ในระดับประสิทธิภาพต่อวัตต์ เนื่องจากข้อจำกัดด้านเทคโนโลยีการผลิตที่เกิดจากการคว่ำบาตรของสหรัฐฯ ✅ การเปิดตัวโปรเซสเซอร์ Ascend 910D - Ascend 910D ถูกออกแบบมาให้มีประสิทธิภาพสูงกว่า Nvidia H100 - ใช้กลยุทธ์การสร้างระบบที่มีโปรเซสเซอร์หลายร้อยตัว ✅ การทดสอบและการจัดส่ง - Ascend 910D จะเริ่มการทดสอบในเดือนพฤษภาคม - Ascend 910C แบบสองชิปจะเริ่มจัดส่งในเดือนหน้า ✅ ความสำคัญของ Ascend 910D - เป็นโปรเซสเซอร์ AI ที่มีเป้าหมายเพื่อแข่งขันกับ Nvidia ในตลาดจีน - มีบทบาทสำคัญในการพัฒนา AI ในประเทศจีน ✅ ข้อจำกัดด้านเทคโนโลยีการผลิต - Huawei เผชิญกับข้อจำกัดด้านเทคโนโลยีการผลิตจากการคว่ำบาตรของสหรัฐฯ https://www.tomshardware.com/tech-industry/artificial-intelligence/huawei-ascend-ai-910d-processor-designed-to-take-on-nvidias-blackwell-and-rubin-gpus
    0 Comments 0 Shares 259 Views 0 Reviews
  • Intel ได้ประกาศความก้าวหน้าครั้งสำคัญในแผนงานการผลิตชิป โดยเปิดตัวกระบวนการผลิตใหม่ที่เรียกว่า 18A-PT ซึ่งรองรับเทคโนโลยีการซ้อนชิปแบบ 3D (Foveros Direct 3D) และการเชื่อมต่อแบบไฮบริด (Hybrid Bonding) ซึ่งช่วยเพิ่มความหนาแน่นของการเชื่อมต่อและประสิทธิภาพการทำงานของชิป นอกจากนี้ Intel ยังได้เริ่มการผลิตแบบเสี่ยง (Risk Production) สำหรับกระบวนการ 18A และเตรียมเข้าสู่การผลิตในปริมาณมากภายในสิ้นปีนี้

    Intel ยังได้เปิดตัวกระบวนการผลิต 14A ซึ่งเป็นรุ่นต่อจาก 18A โดยใช้เทคโนโลยี High-NA EUV Lithography เป็นครั้งแรกในอุตสาหกรรม และมีการพัฒนาเทคโนโลยี PowerDirect เพื่อเพิ่มประสิทธิภาพการจ่ายพลังงาน

    ในขณะเดียวกัน Intel ได้ขยายความร่วมมือกับพันธมิตรในอุตสาหกรรม เช่น EDA และ IP Partners เพื่อสนับสนุนการออกแบบชิปที่มีมาตรฐานสูง

    ✅ กระบวนการผลิต 18A และ 18A-PT
    - 18A-PT รองรับการซ้อนชิปแบบ 3D และการเชื่อมต่อแบบไฮบริด
    - 18A เริ่มการผลิตแบบเสี่ยงและเตรียมเข้าสู่การผลิตในปริมาณมาก

    ✅ กระบวนการผลิต 14A
    - ใช้เทคโนโลยี High-NA EUV Lithography เป็นครั้งแรกในอุตสาหกรรม
    - พัฒนาเทคโนโลยี PowerDirect เพื่อเพิ่มประสิทธิภาพการจ่ายพลังงาน

    ✅ การขยายความร่วมมือในอุตสาหกรรม
    - ร่วมมือกับ EDA และ IP Partners เพื่อสนับสนุนการออกแบบชิป
    - ขยายโปรแกรม Intel Foundry Accelerator Alliance

    ✅ ความสำคัญของการพัฒนา
    - Intel เป็นผู้ผลิตชิปในสหรัฐฯ เพียงรายเดียวที่มีเทคโนโลยีการผลิตชั้นนำ

    https://www.tomshardware.com/pc-components/cpus/intel-foundry-roadmap-update-new-18a-pt-variant-that-enables-3d-die-stacking-14a-process-node-enablement
    Intel ได้ประกาศความก้าวหน้าครั้งสำคัญในแผนงานการผลิตชิป โดยเปิดตัวกระบวนการผลิตใหม่ที่เรียกว่า 18A-PT ซึ่งรองรับเทคโนโลยีการซ้อนชิปแบบ 3D (Foveros Direct 3D) และการเชื่อมต่อแบบไฮบริด (Hybrid Bonding) ซึ่งช่วยเพิ่มความหนาแน่นของการเชื่อมต่อและประสิทธิภาพการทำงานของชิป นอกจากนี้ Intel ยังได้เริ่มการผลิตแบบเสี่ยง (Risk Production) สำหรับกระบวนการ 18A และเตรียมเข้าสู่การผลิตในปริมาณมากภายในสิ้นปีนี้ Intel ยังได้เปิดตัวกระบวนการผลิต 14A ซึ่งเป็นรุ่นต่อจาก 18A โดยใช้เทคโนโลยี High-NA EUV Lithography เป็นครั้งแรกในอุตสาหกรรม และมีการพัฒนาเทคโนโลยี PowerDirect เพื่อเพิ่มประสิทธิภาพการจ่ายพลังงาน ในขณะเดียวกัน Intel ได้ขยายความร่วมมือกับพันธมิตรในอุตสาหกรรม เช่น EDA และ IP Partners เพื่อสนับสนุนการออกแบบชิปที่มีมาตรฐานสูง ✅ กระบวนการผลิต 18A และ 18A-PT - 18A-PT รองรับการซ้อนชิปแบบ 3D และการเชื่อมต่อแบบไฮบริด - 18A เริ่มการผลิตแบบเสี่ยงและเตรียมเข้าสู่การผลิตในปริมาณมาก ✅ กระบวนการผลิต 14A - ใช้เทคโนโลยี High-NA EUV Lithography เป็นครั้งแรกในอุตสาหกรรม - พัฒนาเทคโนโลยี PowerDirect เพื่อเพิ่มประสิทธิภาพการจ่ายพลังงาน ✅ การขยายความร่วมมือในอุตสาหกรรม - ร่วมมือกับ EDA และ IP Partners เพื่อสนับสนุนการออกแบบชิป - ขยายโปรแกรม Intel Foundry Accelerator Alliance ✅ ความสำคัญของการพัฒนา - Intel เป็นผู้ผลิตชิปในสหรัฐฯ เพียงรายเดียวที่มีเทคโนโลยีการผลิตชั้นนำ https://www.tomshardware.com/pc-components/cpus/intel-foundry-roadmap-update-new-18a-pt-variant-that-enables-3d-die-stacking-14a-process-node-enablement
    Like
    1
    0 Comments 0 Shares 226 Views 0 Reviews
  • ลุงคิดว่าเป็นแนวทางที่ฉลาดมาก ไม่งั้นอเมริกาจะได้ทุกอย่างแล้วทิ้งไต้หวันไว้กลางทางได้ แต่ลุงก็คิดว่าทรัมป์ก็คงไม่ยอมเช่นกัน

    รัฐบาลไต้หวันได้ประกาศมาตรการใหม่เพื่อเสริมสร้าง "โล่ซิลิคอน" โดยการควบคุมการส่งออกเทคโนโลยีการผลิตชิปขั้นสูงของ TSMC และการลงทุนในต่างประเทศ มาตรการนี้มีเป้าหมายเพื่อปกป้องความมั่นคงทางเศรษฐกิจและเทคโนโลยีของประเทศ โดยมีการบังคับใช้ข้อจำกัด "N-1" ซึ่งอนุญาตให้ส่งออกเทคโนโลยีการผลิตที่ล้าหลังไปหนึ่งรุ่นเท่านั้น

    มาตรการนี้จะมีผลกระทบต่อการผลิตชิปในสหรัฐฯ ของ TSMC ซึ่งจะถูกจำกัดให้ใช้เทคโนโลยีที่ล้าหลังไปหนึ่งรุ่นจากเทคโนโลยีที่ทันสมัยที่สุดในไต้หวัน นอกจากนี้ รัฐบาลยังมีสิทธิ์ปฏิเสธหรือยกเลิกการลงทุนในต่างประเทศหากพบว่ามีความเสี่ยงต่อความมั่นคงของประเทศ

    ✅ ข้อจำกัด "N-1"
    - จำกัดการส่งออกเทคโนโลยีการผลิตชิปขั้นสูงของ TSMC
    - อนุญาตให้ส่งออกเทคโนโลยีที่ล้าหลังไปหนึ่งรุ่นเท่านั้น

    ✅ ผลกระทบต่อ TSMC
    - การผลิตชิปในสหรัฐฯ จะถูกจำกัดให้ใช้เทคโนโลยีที่ล้าหลังไปหนึ่งรุ่น
    - TSMC วางแผนที่จะเพิ่มการลงทุนในสหรัฐฯ เป็น $165 พันล้าน

    ✅ การควบคุมการลงทุนในต่างประเทศ
    - รัฐบาลมีสิทธิ์ปฏิเสธหรือยกเลิกการลงทุนที่เสี่ยงต่อความมั่นคงของประเทศ
    - บริษัทที่ลงทุนโดยไม่ได้รับอนุญาตอาจถูกปรับสูงสุด NT$10 ล้าน

    ✅ เป้าหมายของมาตรการ
    - ปกป้องความมั่นคงทางเศรษฐกิจและเทคโนโลยีของประเทศ

    https://www.tomshardware.com/tech-industry/semiconductors/taiwans-government-strengthens-silicon-shield-restricts-exports-of-tsmcs-most-advanced-process-technologies
    ลุงคิดว่าเป็นแนวทางที่ฉลาดมาก ไม่งั้นอเมริกาจะได้ทุกอย่างแล้วทิ้งไต้หวันไว้กลางทางได้ แต่ลุงก็คิดว่าทรัมป์ก็คงไม่ยอมเช่นกัน รัฐบาลไต้หวันได้ประกาศมาตรการใหม่เพื่อเสริมสร้าง "โล่ซิลิคอน" โดยการควบคุมการส่งออกเทคโนโลยีการผลิตชิปขั้นสูงของ TSMC และการลงทุนในต่างประเทศ มาตรการนี้มีเป้าหมายเพื่อปกป้องความมั่นคงทางเศรษฐกิจและเทคโนโลยีของประเทศ โดยมีการบังคับใช้ข้อจำกัด "N-1" ซึ่งอนุญาตให้ส่งออกเทคโนโลยีการผลิตที่ล้าหลังไปหนึ่งรุ่นเท่านั้น มาตรการนี้จะมีผลกระทบต่อการผลิตชิปในสหรัฐฯ ของ TSMC ซึ่งจะถูกจำกัดให้ใช้เทคโนโลยีที่ล้าหลังไปหนึ่งรุ่นจากเทคโนโลยีที่ทันสมัยที่สุดในไต้หวัน นอกจากนี้ รัฐบาลยังมีสิทธิ์ปฏิเสธหรือยกเลิกการลงทุนในต่างประเทศหากพบว่ามีความเสี่ยงต่อความมั่นคงของประเทศ ✅ ข้อจำกัด "N-1" - จำกัดการส่งออกเทคโนโลยีการผลิตชิปขั้นสูงของ TSMC - อนุญาตให้ส่งออกเทคโนโลยีที่ล้าหลังไปหนึ่งรุ่นเท่านั้น ✅ ผลกระทบต่อ TSMC - การผลิตชิปในสหรัฐฯ จะถูกจำกัดให้ใช้เทคโนโลยีที่ล้าหลังไปหนึ่งรุ่น - TSMC วางแผนที่จะเพิ่มการลงทุนในสหรัฐฯ เป็น $165 พันล้าน ✅ การควบคุมการลงทุนในต่างประเทศ - รัฐบาลมีสิทธิ์ปฏิเสธหรือยกเลิกการลงทุนที่เสี่ยงต่อความมั่นคงของประเทศ - บริษัทที่ลงทุนโดยไม่ได้รับอนุญาตอาจถูกปรับสูงสุด NT$10 ล้าน ✅ เป้าหมายของมาตรการ - ปกป้องความมั่นคงทางเศรษฐกิจและเทคโนโลยีของประเทศ https://www.tomshardware.com/tech-industry/semiconductors/taiwans-government-strengthens-silicon-shield-restricts-exports-of-tsmcs-most-advanced-process-technologies
    0 Comments 0 Shares 230 Views 0 Reviews
  • บทความนี้กล่าวถึงความสำเร็จของ Arm ในการพัฒนาและส่งมอบชิปประมวลผลที่มีประสิทธิภาพสูงและประหยัดพลังงาน โดย Arm ได้เริ่มต้นการพัฒนาชิปตั้งแต่ปี 1985 ด้วยชิป ARM1 ที่มีเพียง 6,000 gates และใช้เทคโนโลยี 3μm ซึ่งถูกออกแบบมาเพื่อใช้งานในคอมพิวเตอร์ Acorn Archimedes ในสหราชอาณาจักร

    ปัจจุบัน Arm ได้ส่งมอบชิปไปแล้วกว่า 250 พันล้านชิ้น ซึ่งถูกใช้งานในอุปกรณ์หลากหลายประเภท เช่น เซ็นเซอร์ สมาร์ทโฟน และศูนย์ข้อมูล โดยชิปที่ทันสมัยที่สุดของ Arm มีมากกว่า 100 ล้าน gates และใช้เทคโนโลยีการผลิต 3nm

    Arm ยังมีบทบาทสำคัญในอุตสาหกรรมเทคโนโลยีระดับโลก โดยมีเป้าหมายที่จะครองตลาด CPU ในศูนย์ข้อมูลถึง 50% ภายในปี 2025 อย่างไรก็ตาม Arm ต้องเผชิญกับความท้าทายจากคู่แข่ง เช่น RISC-V และบริษัทเทคโนโลยีในจีนที่กำลังพัฒนาอย่างรวดเร็ว

    ✅ การเริ่มต้นและการพัฒนา
    - ชิป ARM1 มีเพียง 6,000 gates และใช้เทคโนโลยี 3μm
    - ถูกออกแบบมาเพื่อใช้งานในคอมพิวเตอร์ Acorn Archimedes

    ✅ ความสำเร็จในปัจจุบัน
    - ส่งมอบชิปไปแล้วกว่า 250 พันล้านชิ้น
    - ชิปที่ทันสมัยที่สุดมีมากกว่า 100 ล้าน gates และใช้เทคโนโลยี 3nm

    ✅ บทบาทในอุตสาหกรรมเทคโนโลยี
    - เป้าหมายที่จะครองตลาด CPU ในศูนย์ข้อมูลถึง 50% ภายในปี 2025
    - มีบทบาทสำคัญในอุปกรณ์หลากหลายประเภท เช่น เซ็นเซอร์และสมาร์ทโฟน

    ✅ ความสำคัญของการประหยัดพลังงาน
    - ชิปของ Arm มีคุณสมบัติที่ประหยัดพลังงานและมีประสิทธิภาพสูง

    https://www.tomshardware.com/pc-components/cpus/over-250-billion-arm-chips-have-shipped-since-the-first-arm1-processor-launched-40-years-ago
    บทความนี้กล่าวถึงความสำเร็จของ Arm ในการพัฒนาและส่งมอบชิปประมวลผลที่มีประสิทธิภาพสูงและประหยัดพลังงาน โดย Arm ได้เริ่มต้นการพัฒนาชิปตั้งแต่ปี 1985 ด้วยชิป ARM1 ที่มีเพียง 6,000 gates และใช้เทคโนโลยี 3μm ซึ่งถูกออกแบบมาเพื่อใช้งานในคอมพิวเตอร์ Acorn Archimedes ในสหราชอาณาจักร ปัจจุบัน Arm ได้ส่งมอบชิปไปแล้วกว่า 250 พันล้านชิ้น ซึ่งถูกใช้งานในอุปกรณ์หลากหลายประเภท เช่น เซ็นเซอร์ สมาร์ทโฟน และศูนย์ข้อมูล โดยชิปที่ทันสมัยที่สุดของ Arm มีมากกว่า 100 ล้าน gates และใช้เทคโนโลยีการผลิต 3nm Arm ยังมีบทบาทสำคัญในอุตสาหกรรมเทคโนโลยีระดับโลก โดยมีเป้าหมายที่จะครองตลาด CPU ในศูนย์ข้อมูลถึง 50% ภายในปี 2025 อย่างไรก็ตาม Arm ต้องเผชิญกับความท้าทายจากคู่แข่ง เช่น RISC-V และบริษัทเทคโนโลยีในจีนที่กำลังพัฒนาอย่างรวดเร็ว ✅ การเริ่มต้นและการพัฒนา - ชิป ARM1 มีเพียง 6,000 gates และใช้เทคโนโลยี 3μm - ถูกออกแบบมาเพื่อใช้งานในคอมพิวเตอร์ Acorn Archimedes ✅ ความสำเร็จในปัจจุบัน - ส่งมอบชิปไปแล้วกว่า 250 พันล้านชิ้น - ชิปที่ทันสมัยที่สุดมีมากกว่า 100 ล้าน gates และใช้เทคโนโลยี 3nm ✅ บทบาทในอุตสาหกรรมเทคโนโลยี - เป้าหมายที่จะครองตลาด CPU ในศูนย์ข้อมูลถึง 50% ภายในปี 2025 - มีบทบาทสำคัญในอุปกรณ์หลากหลายประเภท เช่น เซ็นเซอร์และสมาร์ทโฟน ✅ ความสำคัญของการประหยัดพลังงาน - ชิปของ Arm มีคุณสมบัติที่ประหยัดพลังงานและมีประสิทธิภาพสูง https://www.tomshardware.com/pc-components/cpus/over-250-billion-arm-chips-have-shipped-since-the-first-arm1-processor-launched-40-years-ago
    0 Comments 0 Shares 167 Views 0 Reviews
  • Intel ได้เปิดตัวแผนพัฒนาชิปสำหรับยานยนต์รุ่นใหม่ในงาน Auto Shanghai 2025 โดยชิปที่เรียกว่า Frisco Lake ซึ่งเป็นรุ่นที่สองของ SoC (System-on-Chip) สำหรับยานยนต์ที่พัฒนาขึ้นจากสถาปัตยกรรม Panther Lake และมีการคาดการณ์ว่ารุ่นถัดไปจะใช้สถาปัตยกรรม Nova Lake ชิปเหล่านี้ถูกออกแบบมาเพื่อรองรับยานยนต์ที่ขับเคลื่อนด้วยซอฟต์แวร์ (Software-Defined Vehicles) ซึ่งเน้นการใช้ซอฟต์แวร์ในการควบคุมฟังก์ชันต่างๆ แทนที่จะใช้ส่วนประกอบทางกลไกหรืออิเล็กทรอนิกส์แบบดั้งเดิม

    Frisco Lake มีประสิทธิภาพ AI สูงกว่ารุ่นก่อนถึง 10 เท่า และประหยัดพลังงานมากขึ้น 61% โดยใช้เทคโนโลยีการผลิต Intel 18A และมีการปรับปรุงสถาปัตยกรรมจาก Raptor Cove เป็น Cougar Cove

    ✅ การเปิดตัว Frisco Lake
    - เป็น SoC รุ่นที่สองสำหรับยานยนต์ที่พัฒนาจากสถาปัตยกรรม Panther Lake
    - มีประสิทธิภาพ AI สูงกว่ารุ่นก่อนถึง 10 เท่า และประหยัดพลังงานมากขึ้น 61%

    ✅ การใช้เทคโนโลยี Intel 18A
    - ใช้เทคโนโลยีการผลิต Intel 18A เพื่อเพิ่มประสิทธิภาพและลดการใช้พลังงาน
    - มีการปรับปรุงสถาปัตยกรรมจาก Raptor Cove เป็น Cougar Cove

    ✅ การพัฒนารุ่นถัดไป
    - รุ่นถัดไปที่เรียกว่า Grizzly Lake จะใช้สถาปัตยกรรม Nova Lake
    - มีการคาดการณ์ว่าจะมี 32 คอร์ และ GPU ที่รองรับ 7 TFLOPS

    ✅ การตอบสนองต่อความต้องการในตลาดยานยนต์
    - รองรับการใช้งานในยานยนต์ที่ต้องการประสิทธิภาพสูง เช่น ADAS และการขับขี่อัตโนมัติ

    https://www.tomshardware.com/pc-components/cpus/panther-lake-and-nova-lake-reportedly-power-intels-next-gen-automotive-socs-intel-releases-new-roadmap
    Intel ได้เปิดตัวแผนพัฒนาชิปสำหรับยานยนต์รุ่นใหม่ในงาน Auto Shanghai 2025 โดยชิปที่เรียกว่า Frisco Lake ซึ่งเป็นรุ่นที่สองของ SoC (System-on-Chip) สำหรับยานยนต์ที่พัฒนาขึ้นจากสถาปัตยกรรม Panther Lake และมีการคาดการณ์ว่ารุ่นถัดไปจะใช้สถาปัตยกรรม Nova Lake ชิปเหล่านี้ถูกออกแบบมาเพื่อรองรับยานยนต์ที่ขับเคลื่อนด้วยซอฟต์แวร์ (Software-Defined Vehicles) ซึ่งเน้นการใช้ซอฟต์แวร์ในการควบคุมฟังก์ชันต่างๆ แทนที่จะใช้ส่วนประกอบทางกลไกหรืออิเล็กทรอนิกส์แบบดั้งเดิม Frisco Lake มีประสิทธิภาพ AI สูงกว่ารุ่นก่อนถึง 10 เท่า และประหยัดพลังงานมากขึ้น 61% โดยใช้เทคโนโลยีการผลิต Intel 18A และมีการปรับปรุงสถาปัตยกรรมจาก Raptor Cove เป็น Cougar Cove ✅ การเปิดตัว Frisco Lake - เป็น SoC รุ่นที่สองสำหรับยานยนต์ที่พัฒนาจากสถาปัตยกรรม Panther Lake - มีประสิทธิภาพ AI สูงกว่ารุ่นก่อนถึง 10 เท่า และประหยัดพลังงานมากขึ้น 61% ✅ การใช้เทคโนโลยี Intel 18A - ใช้เทคโนโลยีการผลิต Intel 18A เพื่อเพิ่มประสิทธิภาพและลดการใช้พลังงาน - มีการปรับปรุงสถาปัตยกรรมจาก Raptor Cove เป็น Cougar Cove ✅ การพัฒนารุ่นถัดไป - รุ่นถัดไปที่เรียกว่า Grizzly Lake จะใช้สถาปัตยกรรม Nova Lake - มีการคาดการณ์ว่าจะมี 32 คอร์ และ GPU ที่รองรับ 7 TFLOPS ✅ การตอบสนองต่อความต้องการในตลาดยานยนต์ - รองรับการใช้งานในยานยนต์ที่ต้องการประสิทธิภาพสูง เช่น ADAS และการขับขี่อัตโนมัติ https://www.tomshardware.com/pc-components/cpus/panther-lake-and-nova-lake-reportedly-power-intels-next-gen-automotive-socs-intel-releases-new-roadmap
    0 Comments 0 Shares 214 Views 0 Reviews
  • Apple กำลังเตรียมเปิดตัวชิป M5 รุ่นใหม่ในช่วงปลายปี 2025 ซึ่งเป็นการพัฒนาต่อจากชิป M4 โดยชิป M5 นี้ถูกออกแบบมาเพื่อเพิ่มประสิทธิภาพและความสามารถในการประมวลผล AI โดยใช้เทคโนโลยีการผลิต 3nm N3P ของ TSMC ที่ช่วยลดการใช้พลังงานและเพิ่มความหนาแน่นของทรานซิสเตอร์

    นอกจากนี้ Apple ยังมุ่งเน้นการพัฒนา Neural Engine รุ่นใหม่ที่สามารถประมวลผลได้มากกว่า 40 ล้านล้านคำสั่งต่อวินาที เพื่อรองรับการใช้งาน AI เช่น การถอดเสียงแบบเรียลไทม์และการประมวลผลภาพ/วิดีโอที่ชาญฉลาด

    ✅ การใช้เทคโนโลยี 3nm N3P ของ TSMC
    - ช่วยเพิ่มความหนาแน่นของทรานซิสเตอร์และลดการใช้พลังงาน
    - มีคุณสมบัติการจัดการความร้อนที่ดีขึ้น

    ✅ Neural Engine รุ่นใหม่
    - รองรับการประมวลผล AI ได้มากกว่า 40 ล้านล้านคำสั่งต่อวินาที
    - ช่วยเพิ่มประสิทธิภาพในงานที่เกี่ยวข้องกับ AI เช่น การถอดเสียงและการประมวลผลภาพ

    ✅ การพัฒนา SoIC และการบรรจุชิปแบบ 2.5D
    - แยก CPU และ GPU เพื่อการจัดการความร้อนที่ดีขึ้น
    - เพิ่มแบนด์วิดท์และลดความหน่วงในการประมวลผล

    ✅ การเพิ่มประสิทธิภาพโดยรวม
    - คาดว่าจะเพิ่มประสิทธิภาพ CPU ได้ 15–25% และเพิ่มประสิทธิภาพ GPU สำหรับงานสร้างสรรค์

    ✅ การเปิดตัวในอุปกรณ์ใหม่
    - MacBook Pro รุ่น M5 จะเปิดตัวในช่วงฤดูใบไม้ร่วงปี 2025
    - iPad Pro รุ่น M5 จะตามมาในต้นปี 2026

    https://computercity.com/hardware/processors/apples-m5-chip-to-debut-in-late-2025-with-big-performance-gains
    Apple กำลังเตรียมเปิดตัวชิป M5 รุ่นใหม่ในช่วงปลายปี 2025 ซึ่งเป็นการพัฒนาต่อจากชิป M4 โดยชิป M5 นี้ถูกออกแบบมาเพื่อเพิ่มประสิทธิภาพและความสามารถในการประมวลผล AI โดยใช้เทคโนโลยีการผลิต 3nm N3P ของ TSMC ที่ช่วยลดการใช้พลังงานและเพิ่มความหนาแน่นของทรานซิสเตอร์ นอกจากนี้ Apple ยังมุ่งเน้นการพัฒนา Neural Engine รุ่นใหม่ที่สามารถประมวลผลได้มากกว่า 40 ล้านล้านคำสั่งต่อวินาที เพื่อรองรับการใช้งาน AI เช่น การถอดเสียงแบบเรียลไทม์และการประมวลผลภาพ/วิดีโอที่ชาญฉลาด ✅ การใช้เทคโนโลยี 3nm N3P ของ TSMC - ช่วยเพิ่มความหนาแน่นของทรานซิสเตอร์และลดการใช้พลังงาน - มีคุณสมบัติการจัดการความร้อนที่ดีขึ้น ✅ Neural Engine รุ่นใหม่ - รองรับการประมวลผล AI ได้มากกว่า 40 ล้านล้านคำสั่งต่อวินาที - ช่วยเพิ่มประสิทธิภาพในงานที่เกี่ยวข้องกับ AI เช่น การถอดเสียงและการประมวลผลภาพ ✅ การพัฒนา SoIC และการบรรจุชิปแบบ 2.5D - แยก CPU และ GPU เพื่อการจัดการความร้อนที่ดีขึ้น - เพิ่มแบนด์วิดท์และลดความหน่วงในการประมวลผล ✅ การเพิ่มประสิทธิภาพโดยรวม - คาดว่าจะเพิ่มประสิทธิภาพ CPU ได้ 15–25% และเพิ่มประสิทธิภาพ GPU สำหรับงานสร้างสรรค์ ✅ การเปิดตัวในอุปกรณ์ใหม่ - MacBook Pro รุ่น M5 จะเปิดตัวในช่วงฤดูใบไม้ร่วงปี 2025 - iPad Pro รุ่น M5 จะตามมาในต้นปี 2026 https://computercity.com/hardware/processors/apples-m5-chip-to-debut-in-late-2025-with-big-performance-gains
    COMPUTERCITY.COM
    Apple’s M5 Chip to Debut in Late 2025 With Big Performance Gains
    Apple’s silicon roadmap continues to march forward, and all eyes are now on the highly anticipated M5 chip, expected to debut in late 2025. Positioned as the
    0 Comments 0 Shares 201 Views 0 Reviews
  • รัสเซียได้ประกาศแผนการผลิตชิป 28nm ในโรงงานภายในประเทศภายในปี 2030 ซึ่งเป็นเทคโนโลยีที่เปิดตัวครั้งแรกในปี 2011 โดยแผนนี้เป็นส่วนหนึ่งของความพยายามในการลดการพึ่งพาเทคโนโลยีจากต่างประเทศ หลังจากที่ไต้หวันจำกัดการส่งออกชิปขั้นสูงไปยังรัสเซียและเบลารุสในปี 2022 อย่างไรก็ตาม การพัฒนาเทคโนโลยีนี้ยังคงเผชิญกับความท้าทาย เช่น การสร้างระบบนิเวศที่สนับสนุนการใช้งานชิป Elbrus และการพัฒนาทักษะบุคลากรที่สามารถปรับซอฟต์แวร์ให้เข้ากับสถาปัตยกรรมใหม่ได้

    ✅ การผลิตชิป 28nm ในโรงงานภายในประเทศ
    - รัสเซียตั้งเป้าหมายผลิตชิป 28nm ภายในปี 2030
    - ชิป Elbrus จะถูกผลิตในโรงงานภายในประเทศเพื่อรองรับความต้องการขององค์กรในรัสเซีย

    ✅ การพัฒนาเทคโนโลยีการผลิตชิป
    - โรงงานในรัสเซียกำลังพัฒนาเครื่องมือ lithography สำหรับการผลิตชิป 350nm และ 130nm
    - มีการลักลอบนำเข้าเครื่องมือจาก ASML เพื่อสนับสนุนการพัฒนาเทคโนโลยี

    ✅ ความสำคัญของชิป Elbrus
    - ชิป Elbrus ใช้สถาปัตยกรรมที่แตกต่างจาก x86 และ ARM
    - การเปลี่ยนแปลงนี้ช่วยลดความเสี่ยงจากการพึ่งพา CPU ต่างประเทศ

    ✅ การสร้างระบบนิเวศที่สนับสนุนการใช้งานชิป Elbrus
    - รัฐบาลรัสเซียควรสนับสนุนการศึกษาและการฝึกอบรมเพื่อพัฒนาทักษะบุคลากร
    - การสร้างระบบนิเวศที่แข็งแกร่งช่วยเพิ่มความสามารถในการแข่งขัน

    https://www.tomshardware.com/tech-industry/russia-says-its-on-track-to-manufacture-28nm-chips-in-its-own-fabs-by-2030-the-tech-first-debuted-15-years-ago
    รัสเซียได้ประกาศแผนการผลิตชิป 28nm ในโรงงานภายในประเทศภายในปี 2030 ซึ่งเป็นเทคโนโลยีที่เปิดตัวครั้งแรกในปี 2011 โดยแผนนี้เป็นส่วนหนึ่งของความพยายามในการลดการพึ่งพาเทคโนโลยีจากต่างประเทศ หลังจากที่ไต้หวันจำกัดการส่งออกชิปขั้นสูงไปยังรัสเซียและเบลารุสในปี 2022 อย่างไรก็ตาม การพัฒนาเทคโนโลยีนี้ยังคงเผชิญกับความท้าทาย เช่น การสร้างระบบนิเวศที่สนับสนุนการใช้งานชิป Elbrus และการพัฒนาทักษะบุคลากรที่สามารถปรับซอฟต์แวร์ให้เข้ากับสถาปัตยกรรมใหม่ได้ ✅ การผลิตชิป 28nm ในโรงงานภายในประเทศ - รัสเซียตั้งเป้าหมายผลิตชิป 28nm ภายในปี 2030 - ชิป Elbrus จะถูกผลิตในโรงงานภายในประเทศเพื่อรองรับความต้องการขององค์กรในรัสเซีย ✅ การพัฒนาเทคโนโลยีการผลิตชิป - โรงงานในรัสเซียกำลังพัฒนาเครื่องมือ lithography สำหรับการผลิตชิป 350nm และ 130nm - มีการลักลอบนำเข้าเครื่องมือจาก ASML เพื่อสนับสนุนการพัฒนาเทคโนโลยี ✅ ความสำคัญของชิป Elbrus - ชิป Elbrus ใช้สถาปัตยกรรมที่แตกต่างจาก x86 และ ARM - การเปลี่ยนแปลงนี้ช่วยลดความเสี่ยงจากการพึ่งพา CPU ต่างประเทศ ✅ การสร้างระบบนิเวศที่สนับสนุนการใช้งานชิป Elbrus - รัฐบาลรัสเซียควรสนับสนุนการศึกษาและการฝึกอบรมเพื่อพัฒนาทักษะบุคลากร - การสร้างระบบนิเวศที่แข็งแกร่งช่วยเพิ่มความสามารถในการแข่งขัน https://www.tomshardware.com/tech-industry/russia-says-its-on-track-to-manufacture-28nm-chips-in-its-own-fabs-by-2030-the-tech-first-debuted-15-years-ago
    0 Comments 0 Shares 252 Views 0 Reviews
  • Intel ได้เปิดเผยรายละเอียดเกี่ยวกับ เทคโนโลยีการผลิต 18A ซึ่งเป็นกระบวนการผลิตเซมิคอนดักเตอร์ที่ล้ำหน้าที่สุดของบริษัท โดยมีการปรับปรุงด้าน ประสิทธิภาพ, การใช้พลังงาน และความหนาแน่นของทรานซิสเตอร์ เมื่อเทียบกับ Intel 3 เทคโนโลยีนี้ใช้ RibbonFET (GAA) และ PowerVia (BSPDN) ซึ่งช่วยให้การส่งพลังงานมีเสถียรภาพมากขึ้น และลดปัญหา voltage droop ที่เกิดขึ้นในชิปประสิทธิภาพสูง

    ✅ Intel 18A มีการปรับปรุงด้านความหนาแน่นของทรานซิสเตอร์กว่า 30%
    - ใช้เทคโนโลยี RibbonFET (GAA) และ PowerVia (BSPDN)
    - ช่วยให้สามารถออกแบบชิปที่มีประสิทธิภาพสูงขึ้นและใช้พื้นที่น้อยลง

    ✅ Intel 18A มีประสิทธิภาพสูงขึ้น 25% และใช้พลังงานน้อยลง 36%
    - ทดสอบบน Arm core sub-block ที่แรงดันไฟฟ้า 1.1V
    - ช่วยให้สามารถออกแบบชิปที่มีประสิทธิภาพสูงขึ้นและประหยัดพลังงาน

    ✅ Intel 18A มีความสามารถในการแข่งขันกับ TSMC N2
    - มีความหนาแน่นของ SRAM เทียบเท่ากับกระบวนการผลิต N2 ของ TSMC
    - แสดงให้เห็นว่า Intel สามารถแข่งขันกับผู้ผลิตชิปชั้นนำของโลกได้

    ✅ Intel 18A จะถูกนำไปใช้ในชิป Panther Lake และ Xeon "Clearwater Forest"
    - คาดว่าจะเริ่มใช้งานในผลิตภัณฑ์จริงภายในปี 2026

    https://www.tomshardware.com/tech-industry/intel-details-next-gen-18a-fab-tech-significantly-more-performance-lower-power-higher-density
    Intel ได้เปิดเผยรายละเอียดเกี่ยวกับ เทคโนโลยีการผลิต 18A ซึ่งเป็นกระบวนการผลิตเซมิคอนดักเตอร์ที่ล้ำหน้าที่สุดของบริษัท โดยมีการปรับปรุงด้าน ประสิทธิภาพ, การใช้พลังงาน และความหนาแน่นของทรานซิสเตอร์ เมื่อเทียบกับ Intel 3 เทคโนโลยีนี้ใช้ RibbonFET (GAA) และ PowerVia (BSPDN) ซึ่งช่วยให้การส่งพลังงานมีเสถียรภาพมากขึ้น และลดปัญหา voltage droop ที่เกิดขึ้นในชิปประสิทธิภาพสูง ✅ Intel 18A มีการปรับปรุงด้านความหนาแน่นของทรานซิสเตอร์กว่า 30% - ใช้เทคโนโลยี RibbonFET (GAA) และ PowerVia (BSPDN) - ช่วยให้สามารถออกแบบชิปที่มีประสิทธิภาพสูงขึ้นและใช้พื้นที่น้อยลง ✅ Intel 18A มีประสิทธิภาพสูงขึ้น 25% และใช้พลังงานน้อยลง 36% - ทดสอบบน Arm core sub-block ที่แรงดันไฟฟ้า 1.1V - ช่วยให้สามารถออกแบบชิปที่มีประสิทธิภาพสูงขึ้นและประหยัดพลังงาน ✅ Intel 18A มีความสามารถในการแข่งขันกับ TSMC N2 - มีความหนาแน่นของ SRAM เทียบเท่ากับกระบวนการผลิต N2 ของ TSMC - แสดงให้เห็นว่า Intel สามารถแข่งขันกับผู้ผลิตชิปชั้นนำของโลกได้ ✅ Intel 18A จะถูกนำไปใช้ในชิป Panther Lake และ Xeon "Clearwater Forest" - คาดว่าจะเริ่มใช้งานในผลิตภัณฑ์จริงภายในปี 2026 https://www.tomshardware.com/tech-industry/intel-details-next-gen-18a-fab-tech-significantly-more-performance-lower-power-higher-density
    0 Comments 0 Shares 214 Views 0 Reviews
  • บทความนี้กล่าวถึงการตอบสนองของ TSMC (Taiwan Semiconductor Manufacturing Company) ต่อรายงานที่ระบุว่าบริษัทได้จัดส่งชิป AI ขั้นสูงให้กับ Huawei ซึ่งเป็นบริษัทเทคโนโลยีของจีน แม้ว่าจะมีข้อจำกัดจากรัฐบาลสหรัฐฯ ที่ห้ามการส่งออกชิปขั้นสูงไปยัง Huawei ตั้งแต่ปี 2020 โดย TSMC ยืนยันว่าไม่ได้จัดส่งผลิตภัณฑ์ใดๆ ให้กับ Huawei ตั้งแต่เดือนกันยายน 2020 และได้ปฏิบัติตามกฎหมายที่เกี่ยวข้องอย่างเคร่งครัด

    ✅ TSMC ยืนยันว่าไม่ได้จัดส่งชิปให้ Huawei ตั้งแต่ปี 2020
    - การห้ามส่งออกชิปขั้นสูงไปยัง Huawei เริ่มต้นในเดือนพฤษภาคม 2020 ภายใต้การบริหารของรัฐบาล Trump
    - TSMC ระบุว่าได้หยุดการจัดส่งผลิตภัณฑ์ทั้งหมดให้กับ Huawei ตั้งแต่เดือนกันยายน 2020

    ✅ TSMC ปฏิบัติตามกฎหมายและข้อบังคับอย่างเคร่งครัด
    - บริษัทได้สื่อสารกับกระทรวงพาณิชย์ของสหรัฐฯ เกี่ยวกับคำสั่งซื้อที่น่าสงสัย
    - หากพบคำสั่งซื้อที่น่าสงสัย TSMC จะดำเนินการตรวจสอบและแจ้งหน่วยงานที่เกี่ยวข้อง

    ✅ รายงานระบุว่า Huawei อาจผลิตชิป Ascend ได้เอง
    - มีรายงานว่า Huawei ได้รับแม่พิมพ์ (dies) สำหรับการผลิตชิปก่อนที่ข้อจำกัดจะมีผลบังคับใช้

    ✅ TSMC ใช้เทคโนโลยีการผลิตขั้นสูง
    - ปัจจุบัน TSMC ใช้กระบวนการผลิต 3 นาโนเมตรสำหรับชิปที่ใช้พลังงานต่ำ เช่น โปรเซสเซอร์สมาร์ทโฟน

    https://wccftech.com/tsmc-breaks-silence-on-reports-it-shipped-advanced-ai-chips-to-chinas-huawei/
    บทความนี้กล่าวถึงการตอบสนองของ TSMC (Taiwan Semiconductor Manufacturing Company) ต่อรายงานที่ระบุว่าบริษัทได้จัดส่งชิป AI ขั้นสูงให้กับ Huawei ซึ่งเป็นบริษัทเทคโนโลยีของจีน แม้ว่าจะมีข้อจำกัดจากรัฐบาลสหรัฐฯ ที่ห้ามการส่งออกชิปขั้นสูงไปยัง Huawei ตั้งแต่ปี 2020 โดย TSMC ยืนยันว่าไม่ได้จัดส่งผลิตภัณฑ์ใดๆ ให้กับ Huawei ตั้งแต่เดือนกันยายน 2020 และได้ปฏิบัติตามกฎหมายที่เกี่ยวข้องอย่างเคร่งครัด ✅ TSMC ยืนยันว่าไม่ได้จัดส่งชิปให้ Huawei ตั้งแต่ปี 2020 - การห้ามส่งออกชิปขั้นสูงไปยัง Huawei เริ่มต้นในเดือนพฤษภาคม 2020 ภายใต้การบริหารของรัฐบาล Trump - TSMC ระบุว่าได้หยุดการจัดส่งผลิตภัณฑ์ทั้งหมดให้กับ Huawei ตั้งแต่เดือนกันยายน 2020 ✅ TSMC ปฏิบัติตามกฎหมายและข้อบังคับอย่างเคร่งครัด - บริษัทได้สื่อสารกับกระทรวงพาณิชย์ของสหรัฐฯ เกี่ยวกับคำสั่งซื้อที่น่าสงสัย - หากพบคำสั่งซื้อที่น่าสงสัย TSMC จะดำเนินการตรวจสอบและแจ้งหน่วยงานที่เกี่ยวข้อง ✅ รายงานระบุว่า Huawei อาจผลิตชิป Ascend ได้เอง - มีรายงานว่า Huawei ได้รับแม่พิมพ์ (dies) สำหรับการผลิตชิปก่อนที่ข้อจำกัดจะมีผลบังคับใช้ ✅ TSMC ใช้เทคโนโลยีการผลิตขั้นสูง - ปัจจุบัน TSMC ใช้กระบวนการผลิต 3 นาโนเมตรสำหรับชิปที่ใช้พลังงานต่ำ เช่น โปรเซสเซอร์สมาร์ทโฟน https://wccftech.com/tsmc-breaks-silence-on-reports-it-shipped-advanced-ai-chips-to-chinas-huawei/
    WCCFTECH.COM
    TSMC Breaks Silence On Reports It Shipped Advanced AI Chips To China's Huawei
    TSMC breaks silence on reports that Huawei has acquired advanced AI chips built by the firm's manufacturing technologies.
    0 Comments 0 Shares 315 Views 0 Reviews
  • Huawei ได้เปิดตัว AI CloudMatrix Cluster รุ่นใหม่ ซึ่งมีประสิทธิภาพสูงกว่า Nvidia GB200 NVL72 โดยใช้พลังงานมากกว่า 4 เท่า แม้จะมีข้อจำกัดด้านเทคโนโลยีการผลิตชิปขั้นสูง Huawei ใช้กลยุทธ์ที่เน้นการเพิ่มจำนวนโปรเซสเซอร์เพื่อให้ได้ประสิทธิภาพที่เทียบเท่ากับคู่แข่งในอุตสาหกรรม

    ✅ CloudMatrix 384 ใช้โปรเซสเซอร์ Ascend 910C จำนวน 384 ตัว
    - ระบบนี้ประกอบด้วย 16 racks โดยมี 12 racks สำหรับการประมวลผล และ 4 racks สำหรับการเชื่อมต่อเครือข่าย
    - ใช้การเชื่อมต่อแบบ optical mesh network เพื่อเพิ่มความเร็วในการสื่อสาร

    ✅ ประสิทธิภาพสูงกว่า Nvidia GB200 NVL72
    - CloudMatrix 384 ให้ประสิทธิภาพ 300 PFLOPs ซึ่งสูงกว่า Nvidia GB200 NVL72 ที่ 180 PFLOPs
    - มีความจุหน่วยความจำ HBM มากกว่า 3.6 เท่า และแบนด์วิดท์หน่วยความจำสูงกว่า 2.1 เท่า

    ✅ ข้อเสียคือการใช้พลังงานมากกว่า Nvidia GB200 NVL72
    - CloudMatrix 384 ใช้พลังงาน 559 kW ซึ่งมากกว่า Nvidia GB200 NVL72 ที่ใช้ 145 kW
    - ประสิทธิภาพต่อพลังงานต่ำกว่า Nvidia ถึง 2.3 เท่า

    ✅ Huawei ใช้ชิป Ascend 910C ที่ผลิตในจีนและต่างประเทศ
    - ชิป Ascend 910C ใช้เทคโนโลยี 7nm-class และหน่วยความจำ HBM2E ที่จัดหาโดย Samsung

    https://www.tomshardware.com/tech-industry/artificial-intelligence/huaweis-new-ai-cloudmatrix-cluster-beats-nvidias-gb200-by-brute-force-uses-4x-the-power
    Huawei ได้เปิดตัว AI CloudMatrix Cluster รุ่นใหม่ ซึ่งมีประสิทธิภาพสูงกว่า Nvidia GB200 NVL72 โดยใช้พลังงานมากกว่า 4 เท่า แม้จะมีข้อจำกัดด้านเทคโนโลยีการผลิตชิปขั้นสูง Huawei ใช้กลยุทธ์ที่เน้นการเพิ่มจำนวนโปรเซสเซอร์เพื่อให้ได้ประสิทธิภาพที่เทียบเท่ากับคู่แข่งในอุตสาหกรรม ✅ CloudMatrix 384 ใช้โปรเซสเซอร์ Ascend 910C จำนวน 384 ตัว - ระบบนี้ประกอบด้วย 16 racks โดยมี 12 racks สำหรับการประมวลผล และ 4 racks สำหรับการเชื่อมต่อเครือข่าย - ใช้การเชื่อมต่อแบบ optical mesh network เพื่อเพิ่มความเร็วในการสื่อสาร ✅ ประสิทธิภาพสูงกว่า Nvidia GB200 NVL72 - CloudMatrix 384 ให้ประสิทธิภาพ 300 PFLOPs ซึ่งสูงกว่า Nvidia GB200 NVL72 ที่ 180 PFLOPs - มีความจุหน่วยความจำ HBM มากกว่า 3.6 เท่า และแบนด์วิดท์หน่วยความจำสูงกว่า 2.1 เท่า ✅ ข้อเสียคือการใช้พลังงานมากกว่า Nvidia GB200 NVL72 - CloudMatrix 384 ใช้พลังงาน 559 kW ซึ่งมากกว่า Nvidia GB200 NVL72 ที่ใช้ 145 kW - ประสิทธิภาพต่อพลังงานต่ำกว่า Nvidia ถึง 2.3 เท่า ✅ Huawei ใช้ชิป Ascend 910C ที่ผลิตในจีนและต่างประเทศ - ชิป Ascend 910C ใช้เทคโนโลยี 7nm-class และหน่วยความจำ HBM2E ที่จัดหาโดย Samsung https://www.tomshardware.com/tech-industry/artificial-intelligence/huaweis-new-ai-cloudmatrix-cluster-beats-nvidias-gb200-by-brute-force-uses-4x-the-power
    0 Comments 0 Shares 256 Views 0 Reviews
More Results