• ช่วงนี้ Apple ไม่ได้พูดถึง AI แค่เรื่อง Siri หรือ iPhone เท่านั้น แต่กำลังใช้ GenAI เข้ามาเปลี่ยนวงในอย่าง “การออกแบบชิป” ที่เป็นหัวใจของอุปกรณ์ทุกตัวเลย

    Johny Srouji รองประธานอาวุโสของฝ่ายฮาร์ดแวร์ของ Apple เปิดเผยว่า Apple กำลังใช้ Generative AI ในซอฟต์แวร์ออกแบบชิป EDA เพื่อเพิ่มความเร็วและประสิทธิภาพของการพัฒนา Apple Silicon รุ่นต่อไป เช่น M-Series และ A-Series ซึ่งใช้ใน Mac และ iPhone ตามลำดับ

    เขาบอกเลยว่า “Generative AI สามารถเพิ่ม productivity ได้มหาศาล” เพราะเดิมทีการวางเลย์เอาต์ของชิป หรือการกำหนดวงจรใช้เวลานานและทำซ้ำบ่อยมาก แต่ถ้าให้ AI สร้างตัวเลือกอัตโนมัติ แล้ววิศวกรคัดกรอง ก็จะเร็วกว่าเดิมหลายเท่า

    ฝั่งบริษัท Cadence และ Synopsys ที่เป็นผู้ผลิตซอฟต์แวร์ EDA ก็เร่งเสริม GenAI เข้าไปในเครื่องมือของตัวเอง เพื่อให้รองรับแนวโน้มนี้ ซึ่งไม่ใช่แค่ Apple ที่ใช้นะครับ Google, Nvidia, AMD ก็เริ่มหันมาใช้กันหมด

    และไม่ใช่แค่ฝั่งตะวันตก — มีรายงานจากจีนว่าทีมนักวิจัยสามารถออกแบบซีพียูทั้งตัวโดยใช้ Large Language Model (LLM) แค่ตัวเดียวได้แล้วด้วย

    Apple เองเริ่มทางนี้ตั้งแต่สมัยเปลี่ยนมาใช้ Apple Silicon ใน MacBook Pro รุ่น M1 ซึ่งเป็นจุดเปลี่ยนครั้งใหญ่ในการเลิกใช้ชิป Intel และพัฒนาชิป Arm ของตนเองแบบเต็มตัว โดยเน้น performance + efficiency + ควบคุม ecosystem ทั้งหมด

    ✅ Apple เริ่มใช้ Generative AI เพื่อช่วยออกแบบชิปในกระบวนการ EDA (Electronic Design Automation)  • เพิ่ม productivity และลดเวลาทำงานของทีมออกแบบ  
    • เป็นการนำ AI มาใช้เบื้องหลัง ไม่ใช่แค่ฟีเจอร์ในอุปกรณ์

    ✅ Johny Srouji ยืนยันว่า GenAI จะเป็นตัวช่วยสำคัญใน pipeline การพัฒนาชิป  
    • ช่วย generate layout, logic, simulation patterns  
    • ลดภาระงานซ้ำซ้อนให้วิศวกร

    ✅ บริษัท EDA ชั้นนำอย่าง Cadence และ Synopsys กำลังใส่ GenAI ในเครื่องมือของตัวเอง  
    • เป็นคลื่นเทคโนโลยีที่หลายผู้ผลิตชิปกำลังปรับตัวตาม

    ✅ Apple เคยทุ่มสุดตัวกับ Apple Silicon โดยไม่มีแผนสำรองตอนเปลี่ยนจาก Intel เป็น M1  
    • พร้อมพัฒนาระบบแปล x86 → Arm ผ่าน Rosetta 2

    ✅ แนวโน้มของโลก: จีนกำลังพัฒนา CPU ที่ออกแบบโดย LLM ล้วน ๆ แล้วเช่นกัน  
    • เป็นการยืนยันว่า AI เริ่มเข้ามามีบทบาทตั้งแต่ระดับสถาปัตยกรรม

    ‼️ AI ยังไม่สามารถแทนที่วิศวกรออกแบบชิปได้เต็มตัวในปัจจุบัน  
    • ความเข้าใจเรื่องสถาปัตยกรรมและข้อจำกัดเชิงฟิสิกส์ยังต้องพึ่งมนุษย์

    ‼️ การใช้ GenAI ในงานชิปต้องควบคุมคุณภาพสูง เพราะ error เล็กน้อยอาจทำให้ชิปทั้งตัวใช้ไม่ได้  
    • จึงต้องมีรอบตรวจสอบหลายชั้น แม้จะใช้ AI ร่วม

    ‼️ การพึ่งพา AI อย่างรวดเร็วใน R&D มีความเสี่ยงต่อการรั่วไหลของแนวคิดหรือทรัพย์สินทางปัญญา  
    • ต้องระวังในระดับการใช้งาน LLM ภายนอกที่อาจไม่ได้ควบคุมโมเดลเอง

    ‼️ แนวโน้มนี้จะเพิ่มการแข่งขันในตลาดชิปแบบ arm-on-silicon สูงขึ้น  
    • บริษัทที่ไม่เร่งใช้ AI ออกแบบ อาจตามไม่ทันรอบพัฒนาผลิตภัณฑ์

    https://www.tomshardware.com/tech-industry/artificial-intelligence/apple-explores-using-generative-ai-to-design-its-chips-executive-says-it-can-be-a-huge-productivity-boost
    ช่วงนี้ Apple ไม่ได้พูดถึง AI แค่เรื่อง Siri หรือ iPhone เท่านั้น แต่กำลังใช้ GenAI เข้ามาเปลี่ยนวงในอย่าง “การออกแบบชิป” ที่เป็นหัวใจของอุปกรณ์ทุกตัวเลย Johny Srouji รองประธานอาวุโสของฝ่ายฮาร์ดแวร์ของ Apple เปิดเผยว่า Apple กำลังใช้ Generative AI ในซอฟต์แวร์ออกแบบชิป EDA เพื่อเพิ่มความเร็วและประสิทธิภาพของการพัฒนา Apple Silicon รุ่นต่อไป เช่น M-Series และ A-Series ซึ่งใช้ใน Mac และ iPhone ตามลำดับ เขาบอกเลยว่า “Generative AI สามารถเพิ่ม productivity ได้มหาศาล” เพราะเดิมทีการวางเลย์เอาต์ของชิป หรือการกำหนดวงจรใช้เวลานานและทำซ้ำบ่อยมาก แต่ถ้าให้ AI สร้างตัวเลือกอัตโนมัติ แล้ววิศวกรคัดกรอง ก็จะเร็วกว่าเดิมหลายเท่า ฝั่งบริษัท Cadence และ Synopsys ที่เป็นผู้ผลิตซอฟต์แวร์ EDA ก็เร่งเสริม GenAI เข้าไปในเครื่องมือของตัวเอง เพื่อให้รองรับแนวโน้มนี้ ซึ่งไม่ใช่แค่ Apple ที่ใช้นะครับ Google, Nvidia, AMD ก็เริ่มหันมาใช้กันหมด และไม่ใช่แค่ฝั่งตะวันตก — มีรายงานจากจีนว่าทีมนักวิจัยสามารถออกแบบซีพียูทั้งตัวโดยใช้ Large Language Model (LLM) แค่ตัวเดียวได้แล้วด้วย Apple เองเริ่มทางนี้ตั้งแต่สมัยเปลี่ยนมาใช้ Apple Silicon ใน MacBook Pro รุ่น M1 ซึ่งเป็นจุดเปลี่ยนครั้งใหญ่ในการเลิกใช้ชิป Intel และพัฒนาชิป Arm ของตนเองแบบเต็มตัว โดยเน้น performance + efficiency + ควบคุม ecosystem ทั้งหมด ✅ Apple เริ่มใช้ Generative AI เพื่อช่วยออกแบบชิปในกระบวนการ EDA (Electronic Design Automation)  • เพิ่ม productivity และลดเวลาทำงานของทีมออกแบบ   • เป็นการนำ AI มาใช้เบื้องหลัง ไม่ใช่แค่ฟีเจอร์ในอุปกรณ์ ✅ Johny Srouji ยืนยันว่า GenAI จะเป็นตัวช่วยสำคัญใน pipeline การพัฒนาชิป   • ช่วย generate layout, logic, simulation patterns   • ลดภาระงานซ้ำซ้อนให้วิศวกร ✅ บริษัท EDA ชั้นนำอย่าง Cadence และ Synopsys กำลังใส่ GenAI ในเครื่องมือของตัวเอง   • เป็นคลื่นเทคโนโลยีที่หลายผู้ผลิตชิปกำลังปรับตัวตาม ✅ Apple เคยทุ่มสุดตัวกับ Apple Silicon โดยไม่มีแผนสำรองตอนเปลี่ยนจาก Intel เป็น M1   • พร้อมพัฒนาระบบแปล x86 → Arm ผ่าน Rosetta 2 ✅ แนวโน้มของโลก: จีนกำลังพัฒนา CPU ที่ออกแบบโดย LLM ล้วน ๆ แล้วเช่นกัน   • เป็นการยืนยันว่า AI เริ่มเข้ามามีบทบาทตั้งแต่ระดับสถาปัตยกรรม ‼️ AI ยังไม่สามารถแทนที่วิศวกรออกแบบชิปได้เต็มตัวในปัจจุบัน   • ความเข้าใจเรื่องสถาปัตยกรรมและข้อจำกัดเชิงฟิสิกส์ยังต้องพึ่งมนุษย์ ‼️ การใช้ GenAI ในงานชิปต้องควบคุมคุณภาพสูง เพราะ error เล็กน้อยอาจทำให้ชิปทั้งตัวใช้ไม่ได้   • จึงต้องมีรอบตรวจสอบหลายชั้น แม้จะใช้ AI ร่วม ‼️ การพึ่งพา AI อย่างรวดเร็วใน R&D มีความเสี่ยงต่อการรั่วไหลของแนวคิดหรือทรัพย์สินทางปัญญา   • ต้องระวังในระดับการใช้งาน LLM ภายนอกที่อาจไม่ได้ควบคุมโมเดลเอง ‼️ แนวโน้มนี้จะเพิ่มการแข่งขันในตลาดชิปแบบ arm-on-silicon สูงขึ้น   • บริษัทที่ไม่เร่งใช้ AI ออกแบบ อาจตามไม่ทันรอบพัฒนาผลิตภัณฑ์ https://www.tomshardware.com/tech-industry/artificial-intelligence/apple-explores-using-generative-ai-to-design-its-chips-executive-says-it-can-be-a-huge-productivity-boost
    WWW.TOMSHARDWARE.COM
    Apple explores using generative AI to design its chips — executive says 'it can be a huge productivity boost'
    Generative AI in EDA tools will help Apple's silicon design teams run faster and more efficiently.
    0 ความคิดเห็น 0 การแบ่งปัน 12 มุมมอง 0 รีวิว
  • Texas Instruments เป็นผู้ผลิตชิปอนาล็อกรายใหญ่ระดับโลก (ใช้ควบคุมพลังงาน, สัญญาณ, sensor ต่าง ๆ) ซึ่งเจ้าใหญ่ ๆ อย่าง Apple, NVIDIA, Ford, Medtronic และ SpaceX ต่างเป็นลูกค้าหลัก คราวนี้ TI ออกมาประกาศว่าจะลงทุนรวมกว่า 6 หมื่นล้านดอลลาร์ใน “สายการผลิตขนาด 300 มม.” ทั้งหมด 7 แห่ง ทั่วสหรัฐฯ ภายในไม่กี่ปีข้างหน้า

    ไฮไลต์ของแผนคือ “การยกระดับ 3 mega-site” ได้แก่ที่เมือง Sherman (เทกซัส), Richardson (เทกซัส), และ Lehi (ยูทาห์) — โดยเฉพาะ ไซต์ Sherman ได้งบถึง 40,000 ล้านดอลลาร์! เพื่อสร้างโรงงาน SM1 และ SM2 ให้เสร็จ และวางแผนเริ่ม SM3 และ SM4 เพื่อรองรับ “ดีมานด์ในอนาคต”

    ฝั่ง Lehi กับ Richardson ก็ไม่น้อยหน้า — TI เตรียมอัปเกรดสายการผลิต พร้อมเร่งสร้างโรงงานน้องใหม่อย่าง LFAB2 ไปพร้อมกัน

    แม้ TI จะเคยได้รับคำสัญญาจากรัฐบาลสหรัฐฯ ว่าจะสนับสนุนเงิน $1.6 พันล้านภายใต้ CHIPS Act (เพื่อขยายไลน์ผลิตให้ทันสมัยขึ้น) แต่ครั้งนี้ TI ไม่ได้พูดถึงเงินสนับสนุนใด ๆ — ทำให้หลายคนตั้งข้อสังเกตว่านี่อาจเป็น “เกมการเมืองล่วงหน้า” เพื่อแสดงความร่วมมือก่อนกระทรวงพาณิชย์สหรัฐฯ ตัดสินใจรอบใหม่ว่าจะจ่ายจริงหรือไม่

    แต่ไม่ว่าจะด้วยเหตุผลใด แผนนี้จะสร้างงานหลายหมื่นตำแหน่ง และเป็นประโยชน์ต่อระบบการศึกษาในพื้นที่โดยตรง เช่น สนับสนุนโรงเรียนในพื้นที่ให้สร้าง pipeline ป้อนเด็กเข้าโรงงานของ TI โดยตรงเลย!

    ✅ Texas Instruments จะลงทุนกว่า $60 พันล้านในโรงงานผลิตชิป 7 แห่งในสหรัฐฯ  
    • ถือเป็นการลงทุนด้านการผลิตเซมิคอนดักเตอร์ในประเทศครั้งใหญ่ที่สุดในประวัติศาสตร์

    ✅ เน้นที่โรงงานขนาด 300 มม. (wafer)  
    • ใช้ผลิต “ชิปอนาล็อกพื้นฐาน” ที่จำเป็นกับอุตสาหกรรมเกือบทุกประเภท

    ✅ ไซต์หลัก 3 แห่ง: Sherman, Richardson (เทกซัส) และ Lehi (ยูทาห์)  
    • Sherman ได้งบกว่า $40B สร้าง SM1–SM4  
    • Lehi จะเร่งสร้าง LFAB2 และเร่งกำลังผลิต  
    • Richardson เพิ่ม output ของ fab ที่ 2

    ✅ มีลูกค้ารายใหญ่อย่าง Apple, NVIDIA, Medtronic, Ford, SpaceX ออกมาหนุน  
    • แสดงให้เห็นว่าแผนนี้ “ได้รับการสนับสนุนระดับ ecosystem”

    ✅ ตั้งเป้าเสริม supply chain ภายในประเทศ ไม่พึ่งพาต่างชาติ  
    • สอดคล้องกับนโยบายความมั่นคงด้านเทคโนโลยีของสหรัฐฯ

    ‼️ ยังไม่ชัดว่าเงินทุนทั้งหมดจะมาจาก TI จริง หรือรอ CHIPS Act อนุมัติอยู่เบื้องหลัง  
    • มีผู้เชี่ยวชาญตั้งข้อสงสัยว่าแผนนี้อาจมี “กลยุทธ์การเมือง” แฝงอยู่

    ‼️ TI ไม่พูดถึงการพัฒนา node ขั้นสูง (เช่น sub-7nm หรือ AI chip)  
    • ชิปของ TI ยังอยู่ในหมวด “foundational analog” ซึ่งแม้จำเป็น แต่ไม่ได้ใช้เทคโนโลยีล้ำสมัยเท่าคู่แข่ง

    ‼️ แรงกดดันจากรัฐบาลสหรัฐฯ ในการตั้งโรงงานในประเทศ อาจสร้างภาระด้านต้นทุนกับบริษัท  
    • โดยเฉพาะหากต้องแข่งขันด้านราคากับผู้ผลิตในเอเชีย

    ‼️ ยังไม่มีไทม์ไลน์ชัดเจนสำหรับสายผลิตใหม่หลายแห่ง เช่น SM3/SM4 ที่อยู่ในขั้น “แผนล่วงหน้า”  
    • อาจล่าช้าหากเงินทุนไม่มากพอ หรือเงื่อนไขทางการเมืองเปลี่ยน

    https://www.tomshardware.com/tech-industry/semiconductors/texas-instruments-commits-usd60-billion-to-u-s-semiconductor-manufacturing-includes-planned-expansions-to-texas-utah-fabs
    Texas Instruments เป็นผู้ผลิตชิปอนาล็อกรายใหญ่ระดับโลก (ใช้ควบคุมพลังงาน, สัญญาณ, sensor ต่าง ๆ) ซึ่งเจ้าใหญ่ ๆ อย่าง Apple, NVIDIA, Ford, Medtronic และ SpaceX ต่างเป็นลูกค้าหลัก คราวนี้ TI ออกมาประกาศว่าจะลงทุนรวมกว่า 6 หมื่นล้านดอลลาร์ใน “สายการผลิตขนาด 300 มม.” ทั้งหมด 7 แห่ง ทั่วสหรัฐฯ ภายในไม่กี่ปีข้างหน้า ไฮไลต์ของแผนคือ “การยกระดับ 3 mega-site” ได้แก่ที่เมือง Sherman (เทกซัส), Richardson (เทกซัส), และ Lehi (ยูทาห์) — โดยเฉพาะ ไซต์ Sherman ได้งบถึง 40,000 ล้านดอลลาร์! เพื่อสร้างโรงงาน SM1 และ SM2 ให้เสร็จ และวางแผนเริ่ม SM3 และ SM4 เพื่อรองรับ “ดีมานด์ในอนาคต” ฝั่ง Lehi กับ Richardson ก็ไม่น้อยหน้า — TI เตรียมอัปเกรดสายการผลิต พร้อมเร่งสร้างโรงงานน้องใหม่อย่าง LFAB2 ไปพร้อมกัน แม้ TI จะเคยได้รับคำสัญญาจากรัฐบาลสหรัฐฯ ว่าจะสนับสนุนเงิน $1.6 พันล้านภายใต้ CHIPS Act (เพื่อขยายไลน์ผลิตให้ทันสมัยขึ้น) แต่ครั้งนี้ TI ไม่ได้พูดถึงเงินสนับสนุนใด ๆ — ทำให้หลายคนตั้งข้อสังเกตว่านี่อาจเป็น “เกมการเมืองล่วงหน้า” เพื่อแสดงความร่วมมือก่อนกระทรวงพาณิชย์สหรัฐฯ ตัดสินใจรอบใหม่ว่าจะจ่ายจริงหรือไม่ แต่ไม่ว่าจะด้วยเหตุผลใด แผนนี้จะสร้างงานหลายหมื่นตำแหน่ง และเป็นประโยชน์ต่อระบบการศึกษาในพื้นที่โดยตรง เช่น สนับสนุนโรงเรียนในพื้นที่ให้สร้าง pipeline ป้อนเด็กเข้าโรงงานของ TI โดยตรงเลย! ✅ Texas Instruments จะลงทุนกว่า $60 พันล้านในโรงงานผลิตชิป 7 แห่งในสหรัฐฯ   • ถือเป็นการลงทุนด้านการผลิตเซมิคอนดักเตอร์ในประเทศครั้งใหญ่ที่สุดในประวัติศาสตร์ ✅ เน้นที่โรงงานขนาด 300 มม. (wafer)   • ใช้ผลิต “ชิปอนาล็อกพื้นฐาน” ที่จำเป็นกับอุตสาหกรรมเกือบทุกประเภท ✅ ไซต์หลัก 3 แห่ง: Sherman, Richardson (เทกซัส) และ Lehi (ยูทาห์)   • Sherman ได้งบกว่า $40B สร้าง SM1–SM4   • Lehi จะเร่งสร้าง LFAB2 และเร่งกำลังผลิต   • Richardson เพิ่ม output ของ fab ที่ 2 ✅ มีลูกค้ารายใหญ่อย่าง Apple, NVIDIA, Medtronic, Ford, SpaceX ออกมาหนุน   • แสดงให้เห็นว่าแผนนี้ “ได้รับการสนับสนุนระดับ ecosystem” ✅ ตั้งเป้าเสริม supply chain ภายในประเทศ ไม่พึ่งพาต่างชาติ   • สอดคล้องกับนโยบายความมั่นคงด้านเทคโนโลยีของสหรัฐฯ ‼️ ยังไม่ชัดว่าเงินทุนทั้งหมดจะมาจาก TI จริง หรือรอ CHIPS Act อนุมัติอยู่เบื้องหลัง   • มีผู้เชี่ยวชาญตั้งข้อสงสัยว่าแผนนี้อาจมี “กลยุทธ์การเมือง” แฝงอยู่ ‼️ TI ไม่พูดถึงการพัฒนา node ขั้นสูง (เช่น sub-7nm หรือ AI chip)   • ชิปของ TI ยังอยู่ในหมวด “foundational analog” ซึ่งแม้จำเป็น แต่ไม่ได้ใช้เทคโนโลยีล้ำสมัยเท่าคู่แข่ง ‼️ แรงกดดันจากรัฐบาลสหรัฐฯ ในการตั้งโรงงานในประเทศ อาจสร้างภาระด้านต้นทุนกับบริษัท   • โดยเฉพาะหากต้องแข่งขันด้านราคากับผู้ผลิตในเอเชีย ‼️ ยังไม่มีไทม์ไลน์ชัดเจนสำหรับสายผลิตใหม่หลายแห่ง เช่น SM3/SM4 ที่อยู่ในขั้น “แผนล่วงหน้า”   • อาจล่าช้าหากเงินทุนไม่มากพอ หรือเงื่อนไขทางการเมืองเปลี่ยน https://www.tomshardware.com/tech-industry/semiconductors/texas-instruments-commits-usd60-billion-to-u-s-semiconductor-manufacturing-includes-planned-expansions-to-texas-utah-fabs
    WWW.TOMSHARDWARE.COM
    Texas Instruments commits $60 billion to U.S. semiconductor manufacturing — includes planned expansions to Texas, Utah fabs
    Texas Instruments announces investments in seven upcoming U.S. 300mm fabs, though we already knew about five
    0 ความคิดเห็น 0 การแบ่งปัน 36 มุมมอง 0 รีวิว
  • ในโลกของการออกแบบทรานซิสเตอร์ (ซึ่งเป็นหัวใจของชิปทุกชิ้น) นักออกแบบต้องหาทางทำให้มันเล็กลง เร็วขึ้น และไม่รั่วพลังงานแบบ “ทะลุทะลวง” ทุก 2–3 ปี ตอนนี้ เทคโนโลยี Gate-All-Around (GAA) คือมาตรฐานใหม่ที่ Samsung, Intel, และ TSMC กำลังใช้กับขนาด 2 นาโนเมตร

    แต่พอจะขยับไปใต้ 1 นาโนเมตร… GAA จะไปต่อยาก Imec จึงเสนอ “forksheet transistor” ตั้งแต่ปี 2017 ซึ่งใช้ผนังฉนวนมาช่วยแยกทรานซิสเตอร์ p กับ n ให้ใกล้กันได้แบบไม่รบกวนกัน แต่ปัญหาคือ…โครงสร้างนี้ “ผลิตยากมาก” แถมยังทำให้ทรานซิสเตอร์ควบคุมไฟฟ้าได้แย่กว่า GAA ที่มี gate ครอบรอบช่องนำกระแส

    ทีมของ Imec จึงออกแบบใหม่เป็น “outer wall forksheet” ซึ่งย้ายผนังฉนวนไปอยู่นอกเซลล์ ทำให้โครงสร้างง่ายขึ้น ใส่วัสดุที่แข็งแรงขึ้นได้ แถมให้ gate ควบคุมช่องกระแสได้ดีกว่าแบบเดิมถึง 25% (จากการตัดขอบกำแพงออกแค่ 5 นาโนเมตร!)

    ถึงแม้ดีไซน์ใหม่นี้อาจเสียพื้นที่บ้าง (density ลดลงเล็กน้อย) แต่ข้อได้เปรียบเรื่อง ต้นทุน–เสถียรภาพ–การผลิตจำนวนมาก (volume manufacturing) ทำให้มันมีแนวโน้มจะเป็น “ขั้นบันได” ที่พาเราไปยัง CFET (complementary FET) ที่ซ้อนทรานซิสเตอร์ p กับ n แบบแนวตั้งในอนาคต

    ✅ Imec เปิดตัว outer wall forksheet transistor สำหรับเทคโนโลยี A10 (1nm)  
    • ออกแบบให้ผลิตง่ายขึ้นจาก forksheet แบบเดิม (inner wall)  
    • ย้ายผนังฉนวนไปไว้ด้านนอกเซลล์ แทนการฝังระหว่าง pMOS กับ nMOS

    ✅ เพิ่มความเสถียรและ performance ได้ดีขึ้น  
    • Gate สามารถควบคุมช่องกระแสได้มากขึ้น (up to 25% drive current)  
    • รองรับเทคนิคเพิ่มความเครียดในช่องนำกระแส (strain engineering) เพื่อเพิ่มความเร็ว

    ✅ ใช้วัสดุเดิม (เช่น silicon dioxide) และกระบวนการหลังจากขั้นตอนหลักแล้ว  
    • ทำให้ผนังไม่ถูกกัดเซาะจากขั้นตอนก่อนหน้า  
    • วางระบบ timing และ mask alignment ได้ง่ายกว่า

    ✅ ผลจากการจำลองจริงบน SRAM และ oscillator circuits  
    • เทียบกับ A14 nanosheet transistor: พื้นที่ลดลง 22%, ประสิทธิภาพเท่าหรือดีกว่า  
    • ถ้าไม่มีการใส่ strain → performance ลดลง 33%

    ✅ เป็นแนวทางกลางระหว่าง GAA → forksheet → CFET  
    • ทำให้ผู้ผลิตสามารถเรียนรู้กระบวนการที่ใช้ร่วมกันได้ ก่อนเข้าสู่ CFET เต็มรูปแบบในทศวรรษหน้า

    ‼️ outer wall forksheet อาจเสียพื้นที่บ้าง (density ลดลง) เทียบกับ inner wall  
    • เพราะผนังฉนวนกว้างขึ้น (จาก ~8–10nm เป็น ~15nm) แม้จะได้การผลิตที่ง่ายขึ้น

    ‼️ ยังอยู่ในขั้นต้นของการพัฒนา — ยังไม่มีแผนผลิตเชิงพาณิชย์โดยใครชัดเจน  
    • ต้องรอ Intel, TSMC, หรือ Samsung นำไปรวมใน node จริง

    ‼️ หากไม่ได้วางระบบ strain อย่างเหมาะสม จะเสีย performance ไปมาก  
    • strain continuity เป็นหัวใจที่ forksheet เดิมขาดไป แต่ต้องทำให้ครบ

    ‼️ โครงสร้างนี้ซับซ้อนเกินกว่า node 5nm จะใช้ได้ทั่วไป  
    • เน้นเฉพาะ A10 (1nm) ขึ้นไป ใกล้เคียงกับกรอบเวลา 2027–2030+

    https://www.tomshardware.com/tech-industry/semiconductors/imecs-next-gen-high-speed-chip-transistor-addresses-manufacturing-concerns-outer-wall-forksheet-design-simplifies-production-but-may-sacrifice-density
    ในโลกของการออกแบบทรานซิสเตอร์ (ซึ่งเป็นหัวใจของชิปทุกชิ้น) นักออกแบบต้องหาทางทำให้มันเล็กลง เร็วขึ้น และไม่รั่วพลังงานแบบ “ทะลุทะลวง” ทุก 2–3 ปี ตอนนี้ เทคโนโลยี Gate-All-Around (GAA) คือมาตรฐานใหม่ที่ Samsung, Intel, และ TSMC กำลังใช้กับขนาด 2 นาโนเมตร แต่พอจะขยับไปใต้ 1 นาโนเมตร… GAA จะไปต่อยาก Imec จึงเสนอ “forksheet transistor” ตั้งแต่ปี 2017 ซึ่งใช้ผนังฉนวนมาช่วยแยกทรานซิสเตอร์ p กับ n ให้ใกล้กันได้แบบไม่รบกวนกัน แต่ปัญหาคือ…โครงสร้างนี้ “ผลิตยากมาก” แถมยังทำให้ทรานซิสเตอร์ควบคุมไฟฟ้าได้แย่กว่า GAA ที่มี gate ครอบรอบช่องนำกระแส ทีมของ Imec จึงออกแบบใหม่เป็น “outer wall forksheet” ซึ่งย้ายผนังฉนวนไปอยู่นอกเซลล์ ทำให้โครงสร้างง่ายขึ้น ใส่วัสดุที่แข็งแรงขึ้นได้ แถมให้ gate ควบคุมช่องกระแสได้ดีกว่าแบบเดิมถึง 25% (จากการตัดขอบกำแพงออกแค่ 5 นาโนเมตร!) ถึงแม้ดีไซน์ใหม่นี้อาจเสียพื้นที่บ้าง (density ลดลงเล็กน้อย) แต่ข้อได้เปรียบเรื่อง ต้นทุน–เสถียรภาพ–การผลิตจำนวนมาก (volume manufacturing) ทำให้มันมีแนวโน้มจะเป็น “ขั้นบันได” ที่พาเราไปยัง CFET (complementary FET) ที่ซ้อนทรานซิสเตอร์ p กับ n แบบแนวตั้งในอนาคต ✅ Imec เปิดตัว outer wall forksheet transistor สำหรับเทคโนโลยี A10 (1nm)   • ออกแบบให้ผลิตง่ายขึ้นจาก forksheet แบบเดิม (inner wall)   • ย้ายผนังฉนวนไปไว้ด้านนอกเซลล์ แทนการฝังระหว่าง pMOS กับ nMOS ✅ เพิ่มความเสถียรและ performance ได้ดีขึ้น   • Gate สามารถควบคุมช่องกระแสได้มากขึ้น (up to 25% drive current)   • รองรับเทคนิคเพิ่มความเครียดในช่องนำกระแส (strain engineering) เพื่อเพิ่มความเร็ว ✅ ใช้วัสดุเดิม (เช่น silicon dioxide) และกระบวนการหลังจากขั้นตอนหลักแล้ว   • ทำให้ผนังไม่ถูกกัดเซาะจากขั้นตอนก่อนหน้า   • วางระบบ timing และ mask alignment ได้ง่ายกว่า ✅ ผลจากการจำลองจริงบน SRAM และ oscillator circuits   • เทียบกับ A14 nanosheet transistor: พื้นที่ลดลง 22%, ประสิทธิภาพเท่าหรือดีกว่า   • ถ้าไม่มีการใส่ strain → performance ลดลง 33% ✅ เป็นแนวทางกลางระหว่าง GAA → forksheet → CFET   • ทำให้ผู้ผลิตสามารถเรียนรู้กระบวนการที่ใช้ร่วมกันได้ ก่อนเข้าสู่ CFET เต็มรูปแบบในทศวรรษหน้า ‼️ outer wall forksheet อาจเสียพื้นที่บ้าง (density ลดลง) เทียบกับ inner wall   • เพราะผนังฉนวนกว้างขึ้น (จาก ~8–10nm เป็น ~15nm) แม้จะได้การผลิตที่ง่ายขึ้น ‼️ ยังอยู่ในขั้นต้นของการพัฒนา — ยังไม่มีแผนผลิตเชิงพาณิชย์โดยใครชัดเจน   • ต้องรอ Intel, TSMC, หรือ Samsung นำไปรวมใน node จริง ‼️ หากไม่ได้วางระบบ strain อย่างเหมาะสม จะเสีย performance ไปมาก   • strain continuity เป็นหัวใจที่ forksheet เดิมขาดไป แต่ต้องทำให้ครบ ‼️ โครงสร้างนี้ซับซ้อนเกินกว่า node 5nm จะใช้ได้ทั่วไป   • เน้นเฉพาะ A10 (1nm) ขึ้นไป ใกล้เคียงกับกรอบเวลา 2027–2030+ https://www.tomshardware.com/tech-industry/semiconductors/imecs-next-gen-high-speed-chip-transistor-addresses-manufacturing-concerns-outer-wall-forksheet-design-simplifies-production-but-may-sacrifice-density
    0 ความคิดเห็น 0 การแบ่งปัน 37 มุมมอง 0 รีวิว
  • 🚀 SSD MonTitan: ประสิทธิภาพสูงสำหรับ AI และ HPC
    Silicon Motion ได้เปิดตัว SSD MonTitan ซึ่งเป็นแพลตฟอร์มที่ออกแบบมาเพื่อรองรับ AI, Edge Computing และ HPC โดยใช้ คอนโทรลเลอร์ SM8366 PCIe Gen5 ที่มีประสิทธิภาพสูง

    ✅ คุณสมบัติเด่นของ MonTitan SSD
    - ความจุ 7.68TB รองรับ TLC และ QLC
    - ความเร็ว 3.4 ล้าน IOPS และ 14.2GB/s
    - ใช้ NVMe 2.0b และรองรับ OCP Data Center specs
    - มี PerformaShape Algorithm ที่ช่วยปรับแต่ง QoS ตามการใช้งาน
    - ใช้พลังงานต่ำ ต่ำกว่า 5W ขณะ idle
    - รองรับ 1 DWPD ซึ่งสามารถเขียนข้อมูลใหม่ได้เกือบ 2,000 ครั้ง ตลอดอายุการใช้งาน

    ‼️ ข้อควรระวัง
    - การแข่งขันกับผู้ผลิต NAND รายใหญ่ เช่น Samsung และ SK Hynix อาจทำให้ MonTitan ต้องดิ้นรนเพื่อหาตลาด
    - การเปิดตัวล่าช้าอาจทำให้เสียโอกาสในตลาด AI ที่กำลังเติบโตอย่างรวดเร็ว
    - ต้องมีการทดสอบเพิ่มเติมเพื่อดูว่าประสิทธิภาพจริงตรงกับที่โฆษณาหรือไม่

    🔍 แนวโน้มตลาด SSD และการแข่งขัน
    ✅ การพัฒนา SSD ในตลาด
    - Western Digital และ Teamgroup กำลังเปิดตัว PCIe Gen5 SSD ความจุ 64TB
    - Intel SSD รุ่นเก่า 4 ปี ยังคงเป็นหนึ่งใน SSD ที่เร็วที่สุดในตลาด
    - Kioxia เปิดตัว SSD 61.44TB ที่ใช้เทคโนโลยีใหม่เพื่อเพิ่มประสิทธิภาพการเขียนข้อมูล

    ‼️ ข้อควรระวังเกี่ยวกับตลาด SSD
    - ต้องจับตาดูการพัฒนาเทคโนโลยี NAND เพราะอาจส่งผลต่อราคาของ SSD รุ่นใหม่
    - การเปลี่ยนไปใช้ PCIe Gen5 อาจต้องอัปเกรดฮาร์ดแวร์ เพื่อให้รองรับมาตรฐานใหม่
    - ต้องตรวจสอบความเข้ากันได้ของ SSD กับระบบที่ใช้งาน เพื่อป้องกันปัญหาด้านประสิทธิภาพ

    🌍 อนาคตของ SSD และเทคโนโลยีการจัดเก็บข้อมูล
    ✅ แนวโน้มการพัฒนา
    - SSD ความจุสูงขึ้นเรื่อยๆ โดยมีการพัฒนา 128TB SSD ที่กำลังเข้าสู่ตลาด
    - AI และ HPC กำลังผลักดันให้ SSD มีความเร็วสูงขึ้น เพื่อรองรับการประมวลผลที่ซับซ้อน
    - เทคโนโลยีใหม่ เช่น Computational Storage อาจช่วยเพิ่มประสิทธิภาพของ SSD ในอนาคต

    ‼️ ข้อควรระวังเกี่ยวกับเทคโนโลยีใหม่
    - ต้องมีการทดสอบความเสถียรของ SSD รุ่นใหม่ เพื่อให้แน่ใจว่าไม่มีปัญหาด้านความเข้ากันได้
    - ต้องพิจารณาค่าใช้จ่ายในการอัปเกรดระบบ เนื่องจาก SSD รุ่นใหม่อาจมีราคาสูง
    - ต้องติดตามการพัฒนาเทคโนโลยี NAND เพื่อให้แน่ใจว่า SSD มีความทนทานและประสิทธิภาพสูง

    https://www.techradar.com/pro/silicon-motion-montitan-ssd-can-be-rewritten-over-almost-2000-times-but-i-fear-that-in-the-battle-against-nand-vendors-like-samsung-its-just-too-little-too-late
    🚀 SSD MonTitan: ประสิทธิภาพสูงสำหรับ AI และ HPC Silicon Motion ได้เปิดตัว SSD MonTitan ซึ่งเป็นแพลตฟอร์มที่ออกแบบมาเพื่อรองรับ AI, Edge Computing และ HPC โดยใช้ คอนโทรลเลอร์ SM8366 PCIe Gen5 ที่มีประสิทธิภาพสูง ✅ คุณสมบัติเด่นของ MonTitan SSD - ความจุ 7.68TB รองรับ TLC และ QLC - ความเร็ว 3.4 ล้าน IOPS และ 14.2GB/s - ใช้ NVMe 2.0b และรองรับ OCP Data Center specs - มี PerformaShape Algorithm ที่ช่วยปรับแต่ง QoS ตามการใช้งาน - ใช้พลังงานต่ำ ต่ำกว่า 5W ขณะ idle - รองรับ 1 DWPD ซึ่งสามารถเขียนข้อมูลใหม่ได้เกือบ 2,000 ครั้ง ตลอดอายุการใช้งาน ‼️ ข้อควรระวัง - การแข่งขันกับผู้ผลิต NAND รายใหญ่ เช่น Samsung และ SK Hynix อาจทำให้ MonTitan ต้องดิ้นรนเพื่อหาตลาด - การเปิดตัวล่าช้าอาจทำให้เสียโอกาสในตลาด AI ที่กำลังเติบโตอย่างรวดเร็ว - ต้องมีการทดสอบเพิ่มเติมเพื่อดูว่าประสิทธิภาพจริงตรงกับที่โฆษณาหรือไม่ 🔍 แนวโน้มตลาด SSD และการแข่งขัน ✅ การพัฒนา SSD ในตลาด - Western Digital และ Teamgroup กำลังเปิดตัว PCIe Gen5 SSD ความจุ 64TB - Intel SSD รุ่นเก่า 4 ปี ยังคงเป็นหนึ่งใน SSD ที่เร็วที่สุดในตลาด - Kioxia เปิดตัว SSD 61.44TB ที่ใช้เทคโนโลยีใหม่เพื่อเพิ่มประสิทธิภาพการเขียนข้อมูล ‼️ ข้อควรระวังเกี่ยวกับตลาด SSD - ต้องจับตาดูการพัฒนาเทคโนโลยี NAND เพราะอาจส่งผลต่อราคาของ SSD รุ่นใหม่ - การเปลี่ยนไปใช้ PCIe Gen5 อาจต้องอัปเกรดฮาร์ดแวร์ เพื่อให้รองรับมาตรฐานใหม่ - ต้องตรวจสอบความเข้ากันได้ของ SSD กับระบบที่ใช้งาน เพื่อป้องกันปัญหาด้านประสิทธิภาพ 🌍 อนาคตของ SSD และเทคโนโลยีการจัดเก็บข้อมูล ✅ แนวโน้มการพัฒนา - SSD ความจุสูงขึ้นเรื่อยๆ โดยมีการพัฒนา 128TB SSD ที่กำลังเข้าสู่ตลาด - AI และ HPC กำลังผลักดันให้ SSD มีความเร็วสูงขึ้น เพื่อรองรับการประมวลผลที่ซับซ้อน - เทคโนโลยีใหม่ เช่น Computational Storage อาจช่วยเพิ่มประสิทธิภาพของ SSD ในอนาคต ‼️ ข้อควรระวังเกี่ยวกับเทคโนโลยีใหม่ - ต้องมีการทดสอบความเสถียรของ SSD รุ่นใหม่ เพื่อให้แน่ใจว่าไม่มีปัญหาด้านความเข้ากันได้ - ต้องพิจารณาค่าใช้จ่ายในการอัปเกรดระบบ เนื่องจาก SSD รุ่นใหม่อาจมีราคาสูง - ต้องติดตามการพัฒนาเทคโนโลยี NAND เพื่อให้แน่ใจว่า SSD มีความทนทานและประสิทธิภาพสูง https://www.techradar.com/pro/silicon-motion-montitan-ssd-can-be-rewritten-over-almost-2000-times-but-i-fear-that-in-the-battle-against-nand-vendors-like-samsung-its-just-too-little-too-late
    0 ความคิดเห็น 0 การแบ่งปัน 109 มุมมอง 0 รีวิว
  • 🔍 ไต้หวันแบนการส่งออกชิปให้ Huawei และ SMIC
    รัฐบาลไต้หวันได้เพิ่ม Huawei และ SMIC เข้าไปในรายชื่อบริษัทที่ถูกควบคุมการส่งออกสินค้าเทคโนโลยีขั้นสูง ซึ่งเป็นมาตรการที่เข้มงวดขึ้นหลังจากพบว่า Huaweiใช้บริษัทตัวกลางเพื่อหลอกให้ TSMC ผลิตชิป AI จำนวน 2 ล้านตัว แม้จะถูกสหรัฐฯ คว่ำบาตรไปแล้ว

    ✅ รายละเอียดมาตรการแบน
    - Huawei และ SMIC ต้อง ขอใบอนุญาตส่งออก จากบริษัทไต้หวันก่อนรับสินค้า
    - รายชื่อบริษัทที่ถูกแบนของไต้หวันรวมถึง Taliban, al-Qaeda, อิหร่าน, รัสเซีย, อัฟกานิสถาน และเกาหลีเหนือ
    - การแบนนี้เกิดขึ้นหลังจาก TSMC ถูกปรับเงินจำนวนมาก เนื่องจากผลิตชิปให้ Huawei โดยไม่ได้ตั้งใจ
    - บริษัทอื่นๆ เช่น UMC, ASE, SPIL และ Nanya ก็ต้องปฏิบัติตามข้อจำกัดใหม่

    ‼️ ข้อควรระวัง
    - Huawei อาจหาทางเลี่ยงมาตรการแบน โดยใช้บริษัทตัวกลางอื่นๆ
    - การแบนอาจส่งผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์ของจีน ทำให้ต้องพึ่งพาการผลิตภายในประเทศมากขึ้น
    - อาจเกิดความตึงเครียดทางการค้าระหว่างจีนและไต้หวัน ซึ่งอาจส่งผลต่อเศรษฐกิจโลก

    🌍 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์
    ✅ แนวโน้มตลาดชิป
    - สหรัฐฯ ได้ขอให้ TSMC หยุดส่งออกชิปขั้นสูงให้จีน ตั้งแต่เดือนพฤศจิกายนที่ผ่านมา
    - มีการคาดการณ์ว่า จีนอาจเร่งพัฒนาเทคโนโลยีชิปของตนเอง เพื่อลดการพึ่งพาต่างประเทศ
    - บริษัทเซมิคอนดักเตอร์ในไต้หวันอาจได้รับผลกระทบ จากการลดคำสั่งซื้อจากจีน

    ‼️ ข้อควรระวังเกี่ยวกับตลาดชิป
    - การควบคุมการส่งออกอาจทำให้เกิดการลักลอบนำเข้าชิป ผ่านช่องทางที่ไม่เป็นทางการ
    - จีนอาจตอบโต้ด้วยมาตรการทางเศรษฐกิจ เช่น การจำกัดการส่งออกแร่หายากที่ใช้ผลิตชิป
    - ต้องจับตาดูการพัฒนาเทคโนโลยีของจีน ว่าจะสามารถแข่งขันกับไต้หวันและสหรัฐฯ ได้หรือไม่

    🛡️ แนวทางการควบคุมเทคโนโลยีขั้นสูง
    ✅ มาตรการของสหรัฐฯ และไต้หวัน
    - สหรัฐฯ ได้ออกมาตรการ ห้ามใช้ชิป Huawei Ascend ในหลายประเทศ
    - ไต้หวันกำลังพิจารณา เพิ่มข้อจำกัดในการส่งออกเทคโนโลยีการผลิตชิปขั้นสูง
    - มีการคาดการณ์ว่า มาตรการแบนอาจขยายไปถึงบริษัทอื่นๆ ในจีน ที่เกี่ยวข้องกับการพัฒนา AI

    ‼️ ข้อควรระวังเกี่ยวกับการควบคุมเทคโนโลยี
    - อาจเกิดการแข่งขันด้านเทคโนโลยีที่รุนแรงขึ้น ระหว่างจีนและตะวันตก
    - การควบคุมที่เข้มงวดอาจทำให้เกิดการพัฒนาเทคโนโลยีแบบปิดกั้น ซึ่งอาจส่งผลต่อการเติบโตของอุตสาหกรรม
    - ต้องมีการกำหนดมาตรฐานที่ชัดเจน เพื่อให้การควบคุมเทคโนโลยีเป็นไปอย่างโปร่งใสและยุติธรรม

    https://www.tomshardware.com/tech-industry/semiconductors/taiwan-bans-chip-exports-to-huawei-smic-ban-comes-after-huawei-tricked-tsmc-into-making-one-million-ai-processors-despite-us-restrictions
    🔍 ไต้หวันแบนการส่งออกชิปให้ Huawei และ SMIC รัฐบาลไต้หวันได้เพิ่ม Huawei และ SMIC เข้าไปในรายชื่อบริษัทที่ถูกควบคุมการส่งออกสินค้าเทคโนโลยีขั้นสูง ซึ่งเป็นมาตรการที่เข้มงวดขึ้นหลังจากพบว่า Huaweiใช้บริษัทตัวกลางเพื่อหลอกให้ TSMC ผลิตชิป AI จำนวน 2 ล้านตัว แม้จะถูกสหรัฐฯ คว่ำบาตรไปแล้ว ✅ รายละเอียดมาตรการแบน - Huawei และ SMIC ต้อง ขอใบอนุญาตส่งออก จากบริษัทไต้หวันก่อนรับสินค้า - รายชื่อบริษัทที่ถูกแบนของไต้หวันรวมถึง Taliban, al-Qaeda, อิหร่าน, รัสเซีย, อัฟกานิสถาน และเกาหลีเหนือ - การแบนนี้เกิดขึ้นหลังจาก TSMC ถูกปรับเงินจำนวนมาก เนื่องจากผลิตชิปให้ Huawei โดยไม่ได้ตั้งใจ - บริษัทอื่นๆ เช่น UMC, ASE, SPIL และ Nanya ก็ต้องปฏิบัติตามข้อจำกัดใหม่ ‼️ ข้อควรระวัง - Huawei อาจหาทางเลี่ยงมาตรการแบน โดยใช้บริษัทตัวกลางอื่นๆ - การแบนอาจส่งผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์ของจีน ทำให้ต้องพึ่งพาการผลิตภายในประเทศมากขึ้น - อาจเกิดความตึงเครียดทางการค้าระหว่างจีนและไต้หวัน ซึ่งอาจส่งผลต่อเศรษฐกิจโลก 🌍 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์ ✅ แนวโน้มตลาดชิป - สหรัฐฯ ได้ขอให้ TSMC หยุดส่งออกชิปขั้นสูงให้จีน ตั้งแต่เดือนพฤศจิกายนที่ผ่านมา - มีการคาดการณ์ว่า จีนอาจเร่งพัฒนาเทคโนโลยีชิปของตนเอง เพื่อลดการพึ่งพาต่างประเทศ - บริษัทเซมิคอนดักเตอร์ในไต้หวันอาจได้รับผลกระทบ จากการลดคำสั่งซื้อจากจีน ‼️ ข้อควรระวังเกี่ยวกับตลาดชิป - การควบคุมการส่งออกอาจทำให้เกิดการลักลอบนำเข้าชิป ผ่านช่องทางที่ไม่เป็นทางการ - จีนอาจตอบโต้ด้วยมาตรการทางเศรษฐกิจ เช่น การจำกัดการส่งออกแร่หายากที่ใช้ผลิตชิป - ต้องจับตาดูการพัฒนาเทคโนโลยีของจีน ว่าจะสามารถแข่งขันกับไต้หวันและสหรัฐฯ ได้หรือไม่ 🛡️ แนวทางการควบคุมเทคโนโลยีขั้นสูง ✅ มาตรการของสหรัฐฯ และไต้หวัน - สหรัฐฯ ได้ออกมาตรการ ห้ามใช้ชิป Huawei Ascend ในหลายประเทศ - ไต้หวันกำลังพิจารณา เพิ่มข้อจำกัดในการส่งออกเทคโนโลยีการผลิตชิปขั้นสูง - มีการคาดการณ์ว่า มาตรการแบนอาจขยายไปถึงบริษัทอื่นๆ ในจีน ที่เกี่ยวข้องกับการพัฒนา AI ‼️ ข้อควรระวังเกี่ยวกับการควบคุมเทคโนโลยี - อาจเกิดการแข่งขันด้านเทคโนโลยีที่รุนแรงขึ้น ระหว่างจีนและตะวันตก - การควบคุมที่เข้มงวดอาจทำให้เกิดการพัฒนาเทคโนโลยีแบบปิดกั้น ซึ่งอาจส่งผลต่อการเติบโตของอุตสาหกรรม - ต้องมีการกำหนดมาตรฐานที่ชัดเจน เพื่อให้การควบคุมเทคโนโลยีเป็นไปอย่างโปร่งใสและยุติธรรม https://www.tomshardware.com/tech-industry/semiconductors/taiwan-bans-chip-exports-to-huawei-smic-ban-comes-after-huawei-tricked-tsmc-into-making-one-million-ai-processors-despite-us-restrictions
    0 ความคิดเห็น 0 การแบ่งปัน 100 มุมมอง 0 รีวิว
  • 🏭 Intel เตรียมปลดพนักงานฝ่ายผลิตชิปกลางเดือนกรกฎาคม
    Intel ประกาศแผนปลดพนักงานฝ่ายผลิตชิปที่โรงงาน Silicon Forest ในรัฐโอเรกอน ตั้งแต่ กลางเดือนกรกฎาคม 2025 โดยมีเป้าหมาย ลดต้นทุนและเพิ่มประสิทธิภาพด้านวิศวกรรม

    🔍 รายละเอียดของการปรับโครงสร้าง
    ✅ Intel ปรับโครงสร้างกลุ่มผลิตชิปให้เน้นด้านวิศวกรรมมากขึ้น
    - ลดจำนวนพนักงานระดับกลาง เพื่อให้ การดำเนินงานมีความคล่องตัวขึ้น
    - เน้นการพัฒนาเทคโนโลยีการผลิตชิป เช่น EUV และ High-NA EUV lithography

    ✅ การปลดพนักงานอาจมีหลายรอบ
    - รอบแรกจะสิ้นสุดภายในเดือนกรกฎาคม
    - อาจมีการปลดพนักงานเพิ่มเติมหากจำเป็น

    ✅ Intel ต้องการลดต้นทุนเพื่อปรับปรุงสถานะทางการเงิน
    - บริษัทต้องการเป็นองค์กรที่มีประสิทธิภาพมากขึ้น
    - การลดต้นทุนช่วยให้สามารถแข่งขันกับ TSMC และ Samsung ได้ดีขึ้น

    🔥 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์
    ‼️ การลดจำนวนพนักงานอาจส่งผลต่อการพัฒนาเทคโนโลยีใหม่
    - หากลดจำนวนวิศวกรมากเกินไป อาจทำให้การพัฒนาเทคโนโลยีล่าช้า

    ‼️ การปลดพนักงานอาจลดความยืดหยุ่นในการดำเนินงาน
    - การลดจำนวนพนักงานระดับปฏิบัติการอาจทำให้การตอบสนองต่อปัญหาช้าลง

    ‼️ ต้องติดตามว่า Intel จะสามารถรักษากำลังการผลิตได้หรือไม่
    - หากการลดพนักงานส่งผลต่อการผลิต อาจทำให้เกิดปัญหาด้านซัพพลายเชน

    🚀 อนาคตของ Intel และการผลิตชิป
    ✅ Intel อาจต้องปรับกลยุทธ์เพื่อแข่งขันกับ TSMC และ Samsung
    ✅ ต้องติดตามว่าการปรับโครงสร้างนี้จะช่วยให้ Intel มีความคล่องตัวมากขึ้นหรือไม่

    https://www.tomshardware.com/pc-components/cpus/intel-to-begin-fab-personnel-layoffs-in-mid-july-company-to-reduce-costs-and-refocus-on-engineering-talent
    🏭 Intel เตรียมปลดพนักงานฝ่ายผลิตชิปกลางเดือนกรกฎาคม Intel ประกาศแผนปลดพนักงานฝ่ายผลิตชิปที่โรงงาน Silicon Forest ในรัฐโอเรกอน ตั้งแต่ กลางเดือนกรกฎาคม 2025 โดยมีเป้าหมาย ลดต้นทุนและเพิ่มประสิทธิภาพด้านวิศวกรรม 🔍 รายละเอียดของการปรับโครงสร้าง ✅ Intel ปรับโครงสร้างกลุ่มผลิตชิปให้เน้นด้านวิศวกรรมมากขึ้น - ลดจำนวนพนักงานระดับกลาง เพื่อให้ การดำเนินงานมีความคล่องตัวขึ้น - เน้นการพัฒนาเทคโนโลยีการผลิตชิป เช่น EUV และ High-NA EUV lithography ✅ การปลดพนักงานอาจมีหลายรอบ - รอบแรกจะสิ้นสุดภายในเดือนกรกฎาคม - อาจมีการปลดพนักงานเพิ่มเติมหากจำเป็น ✅ Intel ต้องการลดต้นทุนเพื่อปรับปรุงสถานะทางการเงิน - บริษัทต้องการเป็นองค์กรที่มีประสิทธิภาพมากขึ้น - การลดต้นทุนช่วยให้สามารถแข่งขันกับ TSMC และ Samsung ได้ดีขึ้น 🔥 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์ ‼️ การลดจำนวนพนักงานอาจส่งผลต่อการพัฒนาเทคโนโลยีใหม่ - หากลดจำนวนวิศวกรมากเกินไป อาจทำให้การพัฒนาเทคโนโลยีล่าช้า ‼️ การปลดพนักงานอาจลดความยืดหยุ่นในการดำเนินงาน - การลดจำนวนพนักงานระดับปฏิบัติการอาจทำให้การตอบสนองต่อปัญหาช้าลง ‼️ ต้องติดตามว่า Intel จะสามารถรักษากำลังการผลิตได้หรือไม่ - หากการลดพนักงานส่งผลต่อการผลิต อาจทำให้เกิดปัญหาด้านซัพพลายเชน 🚀 อนาคตของ Intel และการผลิตชิป ✅ Intel อาจต้องปรับกลยุทธ์เพื่อแข่งขันกับ TSMC และ Samsung ✅ ต้องติดตามว่าการปรับโครงสร้างนี้จะช่วยให้ Intel มีความคล่องตัวมากขึ้นหรือไม่ https://www.tomshardware.com/pc-components/cpus/intel-to-begin-fab-personnel-layoffs-in-mid-july-company-to-reduce-costs-and-refocus-on-engineering-talent
    0 ความคิดเห็น 0 การแบ่งปัน 107 มุมมอง 0 รีวิว
  • 🏭 TSMC เปิดตัว CoPoS: เทคโนโลยีแพ็กเกจชิปขนาดใหญ่ 310 × 310 มม.
    TSMC ได้เปิดตัว CoPoS (Chips on Panel on Substrate) ซึ่งเป็น เทคโนโลยีแพ็กเกจชิปที่ขยายขนาดได้ถึง 310 × 310 มม. โดยใช้ แผงสี่เหลี่ยมแทนเวเฟอร์กลม เพื่อเพิ่มพื้นที่ใช้งานและลดต้นทุนการผลิต

    CoPoS ช่วยให้สามารถรวมชิปหลายตัวและหน่วยความจำ HBM4 ได้มากขึ้น ซึ่งเป็น ก้าวสำคัญสำหรับการพัฒนา AI accelerators และเซิร์ฟเวอร์ประสิทธิภาพสูง

    ✅ ข้อมูลจากข่าว
    - CoPoS ใช้แผงสี่เหลี่ยมแทนเวเฟอร์กลม ทำให้มีพื้นที่ใช้งานมากขึ้นถึง 5 เท่า
    - สามารถรวมหน่วยความจำ HBM4 ได้สูงสุด 12 ชิป พร้อม GPU chiplets หลายตัว
    - เทคโนโลยีนี้ช่วยลดต้นทุนต่อหน่วยและเพิ่มประสิทธิภาพการผลิต
    - TSMC จะเริ่มทดสอบ CoPoS ในปี 2026 และผลิตจำนวนมากในปี 2028-2029
    - Nvidia เป็นพันธมิตรรายแรกที่ใช้ CoPoS สำหรับ AI accelerators รุ่นใหม่

    🔥 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์
    CoPoS อาจช่วยให้การพัฒนา AI accelerators มีประสิทธิภาพมากขึ้น และ ลดข้อจำกัดด้านพื้นที่ของแพ็กเกจชิปแบบเดิม

    ‼️ คำเตือนที่ควรพิจารณา
    - การเปลี่ยนจากเวเฟอร์กลมเป็นแผงสี่เหลี่ยมอาจต้องใช้กระบวนการผลิตใหม่
    - ต้องติดตามว่า CoPoS จะสามารถเข้าสู่ตลาดได้ตามแผนในปี 2028-2029 หรือไม่
    - AMD และ Broadcom ยังคงใช้ CoWoS-L และ CoWoS-R ซึ่งอาจแข่งขันกับ CoPoS
    - เทคโนโลยีนี้อาจต้องใช้วัสดุใหม่ เช่น glass substrates และ silicon photonics

    🚀 อนาคตของ CoPoS และการพัฒนาแพ็กเกจชิป
    TSMC กำลังผลักดันให้ CoPoS กลายเป็นมาตรฐานใหม่สำหรับ AI accelerators โดย อาจช่วยให้สามารถรวมชิปหลายตัวในแพ็กเกจเดียวได้อย่างมีประสิทธิภาพมากขึ้น

    https://www.techpowerup.com/337960/tsmc-prepares-copos-next-gen-310-x-310-mm-packages
    🏭 TSMC เปิดตัว CoPoS: เทคโนโลยีแพ็กเกจชิปขนาดใหญ่ 310 × 310 มม. TSMC ได้เปิดตัว CoPoS (Chips on Panel on Substrate) ซึ่งเป็น เทคโนโลยีแพ็กเกจชิปที่ขยายขนาดได้ถึง 310 × 310 มม. โดยใช้ แผงสี่เหลี่ยมแทนเวเฟอร์กลม เพื่อเพิ่มพื้นที่ใช้งานและลดต้นทุนการผลิต CoPoS ช่วยให้สามารถรวมชิปหลายตัวและหน่วยความจำ HBM4 ได้มากขึ้น ซึ่งเป็น ก้าวสำคัญสำหรับการพัฒนา AI accelerators และเซิร์ฟเวอร์ประสิทธิภาพสูง ✅ ข้อมูลจากข่าว - CoPoS ใช้แผงสี่เหลี่ยมแทนเวเฟอร์กลม ทำให้มีพื้นที่ใช้งานมากขึ้นถึง 5 เท่า - สามารถรวมหน่วยความจำ HBM4 ได้สูงสุด 12 ชิป พร้อม GPU chiplets หลายตัว - เทคโนโลยีนี้ช่วยลดต้นทุนต่อหน่วยและเพิ่มประสิทธิภาพการผลิต - TSMC จะเริ่มทดสอบ CoPoS ในปี 2026 และผลิตจำนวนมากในปี 2028-2029 - Nvidia เป็นพันธมิตรรายแรกที่ใช้ CoPoS สำหรับ AI accelerators รุ่นใหม่ 🔥 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์ CoPoS อาจช่วยให้การพัฒนา AI accelerators มีประสิทธิภาพมากขึ้น และ ลดข้อจำกัดด้านพื้นที่ของแพ็กเกจชิปแบบเดิม ‼️ คำเตือนที่ควรพิจารณา - การเปลี่ยนจากเวเฟอร์กลมเป็นแผงสี่เหลี่ยมอาจต้องใช้กระบวนการผลิตใหม่ - ต้องติดตามว่า CoPoS จะสามารถเข้าสู่ตลาดได้ตามแผนในปี 2028-2029 หรือไม่ - AMD และ Broadcom ยังคงใช้ CoWoS-L และ CoWoS-R ซึ่งอาจแข่งขันกับ CoPoS - เทคโนโลยีนี้อาจต้องใช้วัสดุใหม่ เช่น glass substrates และ silicon photonics 🚀 อนาคตของ CoPoS และการพัฒนาแพ็กเกจชิป TSMC กำลังผลักดันให้ CoPoS กลายเป็นมาตรฐานใหม่สำหรับ AI accelerators โดย อาจช่วยให้สามารถรวมชิปหลายตัวในแพ็กเกจเดียวได้อย่างมีประสิทธิภาพมากขึ้น https://www.techpowerup.com/337960/tsmc-prepares-copos-next-gen-310-x-310-mm-packages
    WWW.TECHPOWERUP.COM
    TSMC Prepares "CoPoS": Next-Gen 310 × 310 mm Packages
    As demand for ever-growing AI compute power continues to rise and manufacturing advanced nodes becomes more difficult, packaging is undergoing its golden era of development. Today's advanced accelerators often rely on TSMC's CoWoS modules, which are built on wafer cuts measuring no more than 120 × 1...
    0 ความคิดเห็น 0 การแบ่งปัน 104 มุมมอง 0 รีวิว
  • 🌍 ARM และ Nvidia วิจารณ์มาตรการควบคุมการส่งออกชิป AI ของสหรัฐฯ
    Rene Haas, CEO ของ ARM ได้เข้าร่วมกับ Jensen Huang, CEO ของ Nvidia ในการวิจารณ์ มาตรการควบคุมการส่งออกชิป AI ของสหรัฐฯ โดยระบุว่า ข้อจำกัดเหล่านี้อาจส่งผลเสียต่ออุตสาหกรรมและผู้บริโภค

    Haas กล่าวในงาน Founders Forum Global ที่ Oxford ว่า การจำกัดการเข้าถึงเทคโนโลยีจะทำให้ตลาดเล็กลงและส่งผลเสียต่อผู้บริโภค

    ✅ ข้อมูลจากข่าว
    - ARM CEO Rene Haas และ Nvidia CEO Jensen Huang วิจารณ์มาตรการควบคุมการส่งออกชิป AI ของสหรัฐฯ
    - Haas ระบุว่าการจำกัดการเข้าถึงเทคโนโลยีจะทำให้ตลาดเล็กลงและส่งผลเสียต่อผู้บริโภค
    - สหรัฐฯ จำกัดการส่งออกชิป AI ไปยังจีนตั้งแต่เดือนเมษายน 2025 ซึ่งส่งผลให้ Nvidia สูญเสียรายได้กว่า 8 พันล้านดอลลาร์
    - Huang เตือนว่าหากข้อจำกัดยังคงดำเนินต่อไป Huawei อาจใช้โอกาสนี้ในการเร่งพัฒนาเทคโนโลยี AI
    - Haas เปิดเผยว่าเขาใช้เวลามากขึ้นในการเจรจากับรัฐบาลสหรัฐฯ เพื่อหาทางออกที่สมดุล

    🔥 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์
    ข้อจำกัดของสหรัฐฯ อาจทำให้บริษัทจีนเร่งพัฒนาเทคโนโลยีของตนเอง และ ลดการพึ่งพาชิปจากบริษัทตะวันตก

    ‼️ คำเตือนที่ควรพิจารณา
    - ข้อจำกัดอาจส่งผลให้บริษัทจีน เช่น Huawei เร่งพัฒนาเทคโนโลยี AI และกลายเป็นคู่แข่งที่แข็งแกร่งขึ้น
    - Nvidia สูญเสียรายได้กว่า 8 พันล้านดอลลาร์จากมาตรการนี้ และอาจต้องปรับกลยุทธ์ทางธุรกิจ
    - ต้องติดตามว่ารัฐบาลสหรัฐฯ จะปรับเปลี่ยนนโยบายหรือไม่ หลังจากการวิจารณ์จากผู้นำอุตสาหกรรม
    - การแข่งขันระหว่างจีนและสหรัฐฯ ในด้าน AI อาจทวีความรุนแรงขึ้นในอนาคต

    🚀 อนาคตของตลาดชิป AI
    ARM และ Nvidia กำลังผลักดันให้รัฐบาลสหรัฐฯ ทบทวนมาตรการควบคุมการส่งออก โดยเชื่อว่า การเปิดตลาดจะช่วยให้เทคโนโลยีเติบโตได้เร็วขึ้นและเป็นประโยชน์ต่อผู้บริโภค

    https://www.tomshardware.com/tech-industry/semiconductors/arm-ceo-joins-nvidia-in-stance-against-us-export-controls-rene-haas-says-narrower-access-not-good-for-industry-or-consumer
    🌍 ARM และ Nvidia วิจารณ์มาตรการควบคุมการส่งออกชิป AI ของสหรัฐฯ Rene Haas, CEO ของ ARM ได้เข้าร่วมกับ Jensen Huang, CEO ของ Nvidia ในการวิจารณ์ มาตรการควบคุมการส่งออกชิป AI ของสหรัฐฯ โดยระบุว่า ข้อจำกัดเหล่านี้อาจส่งผลเสียต่ออุตสาหกรรมและผู้บริโภค Haas กล่าวในงาน Founders Forum Global ที่ Oxford ว่า การจำกัดการเข้าถึงเทคโนโลยีจะทำให้ตลาดเล็กลงและส่งผลเสียต่อผู้บริโภค ✅ ข้อมูลจากข่าว - ARM CEO Rene Haas และ Nvidia CEO Jensen Huang วิจารณ์มาตรการควบคุมการส่งออกชิป AI ของสหรัฐฯ - Haas ระบุว่าการจำกัดการเข้าถึงเทคโนโลยีจะทำให้ตลาดเล็กลงและส่งผลเสียต่อผู้บริโภค - สหรัฐฯ จำกัดการส่งออกชิป AI ไปยังจีนตั้งแต่เดือนเมษายน 2025 ซึ่งส่งผลให้ Nvidia สูญเสียรายได้กว่า 8 พันล้านดอลลาร์ - Huang เตือนว่าหากข้อจำกัดยังคงดำเนินต่อไป Huawei อาจใช้โอกาสนี้ในการเร่งพัฒนาเทคโนโลยี AI - Haas เปิดเผยว่าเขาใช้เวลามากขึ้นในการเจรจากับรัฐบาลสหรัฐฯ เพื่อหาทางออกที่สมดุล 🔥 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์ ข้อจำกัดของสหรัฐฯ อาจทำให้บริษัทจีนเร่งพัฒนาเทคโนโลยีของตนเอง และ ลดการพึ่งพาชิปจากบริษัทตะวันตก ‼️ คำเตือนที่ควรพิจารณา - ข้อจำกัดอาจส่งผลให้บริษัทจีน เช่น Huawei เร่งพัฒนาเทคโนโลยี AI และกลายเป็นคู่แข่งที่แข็งแกร่งขึ้น - Nvidia สูญเสียรายได้กว่า 8 พันล้านดอลลาร์จากมาตรการนี้ และอาจต้องปรับกลยุทธ์ทางธุรกิจ - ต้องติดตามว่ารัฐบาลสหรัฐฯ จะปรับเปลี่ยนนโยบายหรือไม่ หลังจากการวิจารณ์จากผู้นำอุตสาหกรรม - การแข่งขันระหว่างจีนและสหรัฐฯ ในด้าน AI อาจทวีความรุนแรงขึ้นในอนาคต 🚀 อนาคตของตลาดชิป AI ARM และ Nvidia กำลังผลักดันให้รัฐบาลสหรัฐฯ ทบทวนมาตรการควบคุมการส่งออก โดยเชื่อว่า การเปิดตลาดจะช่วยให้เทคโนโลยีเติบโตได้เร็วขึ้นและเป็นประโยชน์ต่อผู้บริโภค https://www.tomshardware.com/tech-industry/semiconductors/arm-ceo-joins-nvidia-in-stance-against-us-export-controls-rene-haas-says-narrower-access-not-good-for-industry-or-consumer
    WWW.TOMSHARDWARE.COM
    ARM CEO joins Nvidia in stance against US export controls — Rene Haas says narrower access 'not good' for industry or consumer
    “If you narrow access to technology and you force other ecosystems to grow up, it’s not good."
    0 ความคิดเห็น 0 การแบ่งปัน 108 มุมมอง 0 รีวิว
  • 🚀 Fidji Simo: จากครอบครัวชาวประมงสู่ผู้บริหารระดับสูงใน Silicon Valley
    Fidji Simo กำลังจะกลายเป็น ผู้บริหารระดับสูงของ OpenAI โดยจะทำหน้าที่ ดูแลการดำเนินงานของบริษัท เพื่อให้ CEO Sam Altman สามารถ มุ่งเน้นไปที่การวิจัยและโครงสร้างพื้นฐาน

    Simo เติบโตใน ครอบครัวชาวประมงในเมือง Sete ประเทศฝรั่งเศส ก่อนจะเข้าเรียนที่ HEC Paris และเริ่มต้นอาชีพที่ eBay ก่อนจะก้าวเข้าสู่ Meta และ Instacart

    ✅ ข้อมูลจากข่าว
    - Fidji Simo จะเป็นผู้บริหารระดับสูงของ OpenAI ดูแลการดำเนินงานของบริษัท
    - เติบโตในครอบครัวชาวประมงในเมือง Sete ประเทศฝรั่งเศส
    - เรียนที่ HEC Paris และเริ่มต้นอาชีพที่ eBay ก่อนย้ายไป Meta ในปี 2011
    - เป็นผู้นำการเปลี่ยนแปลงของ Meta สู่แพลตฟอร์มวิดีโอในปี 2014
    - เข้ารับตำแหน่ง CEO ของ Instacart ในปี 2021 และทำให้บริษัทมีกำไรในปี 2022

    🔥 ความท้าทายที่รออยู่ใน OpenAI
    แม้ว่า OpenAI จะเป็น หนึ่งในสตาร์ทอัพที่ได้รับเงินทุนสูงที่สุดในประวัติศาสตร์ แต่บริษัท กำลังเผชิญกับปัญหาการใช้เงินทุนจำนวนมากและความไม่แน่นอนด้านการบริหาร

    ‼️ คำเตือนที่ควรพิจารณา
    - OpenAI กำลังเผชิญกับปัญหาการใช้เงินทุนจำนวนมาก แม้จะประสบความสำเร็จกับ ChatGPT
    - บริษัทมีการเปลี่ยนแปลงผู้บริหารหลายครั้ง รวมถึงการปลดและคืนตำแหน่งของ Sam Altman ในปี 2023
    - ต้องติดตามว่า Simo จะสามารถช่วยให้ OpenAI มีเสถียรภาพด้านการบริหารได้หรือไม่
    - การแข่งขันในตลาด AI กำลังรุนแรงขึ้น โดยมีคู่แข่งอย่าง Google DeepMind และ Anthropic

    การเข้ามาของ Simo อาจช่วยให้ OpenAI มีความมั่นคงมากขึ้น และ สามารถขยายธุรกิจไปสู่การใช้งาน AI ในระดับองค์กร อย่างไรก็ตาม ต้องติดตามว่าการเปลี่ยนแปลงนี้จะส่งผลต่อกลยุทธ์ของบริษัทอย่างไร

    https://www.thestar.com.my/tech/tech-news/2025/06/12/from-fishing-family-to-big-tech-french-ceo-takes-on-silicon-valley
    🚀 Fidji Simo: จากครอบครัวชาวประมงสู่ผู้บริหารระดับสูงใน Silicon Valley Fidji Simo กำลังจะกลายเป็น ผู้บริหารระดับสูงของ OpenAI โดยจะทำหน้าที่ ดูแลการดำเนินงานของบริษัท เพื่อให้ CEO Sam Altman สามารถ มุ่งเน้นไปที่การวิจัยและโครงสร้างพื้นฐาน Simo เติบโตใน ครอบครัวชาวประมงในเมือง Sete ประเทศฝรั่งเศส ก่อนจะเข้าเรียนที่ HEC Paris และเริ่มต้นอาชีพที่ eBay ก่อนจะก้าวเข้าสู่ Meta และ Instacart ✅ ข้อมูลจากข่าว - Fidji Simo จะเป็นผู้บริหารระดับสูงของ OpenAI ดูแลการดำเนินงานของบริษัท - เติบโตในครอบครัวชาวประมงในเมือง Sete ประเทศฝรั่งเศส - เรียนที่ HEC Paris และเริ่มต้นอาชีพที่ eBay ก่อนย้ายไป Meta ในปี 2011 - เป็นผู้นำการเปลี่ยนแปลงของ Meta สู่แพลตฟอร์มวิดีโอในปี 2014 - เข้ารับตำแหน่ง CEO ของ Instacart ในปี 2021 และทำให้บริษัทมีกำไรในปี 2022 🔥 ความท้าทายที่รออยู่ใน OpenAI แม้ว่า OpenAI จะเป็น หนึ่งในสตาร์ทอัพที่ได้รับเงินทุนสูงที่สุดในประวัติศาสตร์ แต่บริษัท กำลังเผชิญกับปัญหาการใช้เงินทุนจำนวนมากและความไม่แน่นอนด้านการบริหาร ‼️ คำเตือนที่ควรพิจารณา - OpenAI กำลังเผชิญกับปัญหาการใช้เงินทุนจำนวนมาก แม้จะประสบความสำเร็จกับ ChatGPT - บริษัทมีการเปลี่ยนแปลงผู้บริหารหลายครั้ง รวมถึงการปลดและคืนตำแหน่งของ Sam Altman ในปี 2023 - ต้องติดตามว่า Simo จะสามารถช่วยให้ OpenAI มีเสถียรภาพด้านการบริหารได้หรือไม่ - การแข่งขันในตลาด AI กำลังรุนแรงขึ้น โดยมีคู่แข่งอย่าง Google DeepMind และ Anthropic การเข้ามาของ Simo อาจช่วยให้ OpenAI มีความมั่นคงมากขึ้น และ สามารถขยายธุรกิจไปสู่การใช้งาน AI ในระดับองค์กร อย่างไรก็ตาม ต้องติดตามว่าการเปลี่ยนแปลงนี้จะส่งผลต่อกลยุทธ์ของบริษัทอย่างไร https://www.thestar.com.my/tech/tech-news/2025/06/12/from-fishing-family-to-big-tech-french-ceo-takes-on-silicon-valley
    WWW.THESTAR.COM.MY
    From fishing family to Big Tech: French CEO takes on Silicon Valley
    At just 39 years old, Fidji Simo is poised to become OpenAI's second-in-command after leaving her mark at two other major tech firms, including Meta.
    0 ความคิดเห็น 0 การแบ่งปัน 104 มุมมอง 0 รีวิว
  • 🏭 Besi ปรับเป้าหมายทางการเงินระยะยาว คาดการณ์ความต้องการชิปเพิ่มขึ้น
    BE Semiconductor Industries (Besi) ปรับเป้าหมายทางการเงินระยะยาว โดยคาดการณ์ว่า ตลาดสำหรับเทคโนโลยีการจัดเรียงชิปขั้นสูงจะเติบโตอย่างมาก เนื่องจาก การพัฒนา AI และศูนย์ข้อมูล

    Besi เป็นผู้ผลิต เครื่องมือ Hybrid Bonding ที่แม่นยำที่สุดในโลก ซึ่งช่วยให้ สามารถเชื่อมต่อชิปหลายตัวเข้าด้วยกันโดยตรง ทำให้ ชิปมีประสิทธิภาพสูงขึ้นโดยไม่ต้องลดขนาดทรานซิสเตอร์

    ✅ ข้อมูลจากข่าว
    - Besi ปรับเป้าหมายรายได้ระยะยาวเป็น 1.5-1.9 พันล้านยูโร จากเดิม 1 พันล้านยูโร
    - อัตรากำไรจากการดำเนินงานเพิ่มขึ้นเป็น 40%-55% จากเดิม 35%-50%
    - การพัฒนา AI และศูนย์ข้อมูลช่วยเพิ่มความต้องการเทคโนโลยี Hybrid Bonding
    - หุ้นของ Besi เพิ่มขึ้น 7.5% หลังประกาศปรับเป้าหมาย
    - นักวิเคราะห์จาก ING ระบุว่าตลาดสำหรับเทคโนโลยีการจัดเรียงชิปเติบโตขึ้นอย่างมาก

    🔥 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์
    เมื่อการลดขนาดทรานซิสเตอร์ เริ่มถึงขีดจำกัดทางกายภาพ ผู้ผลิตชิป ต้องหาทางเพิ่มประสิทธิภาพผ่านเทคโนโลยีการจัดเรียงชิปขั้นสูง

    ‼️ คำเตือนที่ควรพิจารณา
    - แม้ Hybrid Bonding จะช่วยเพิ่มประสิทธิภาพ แต่ยังต้องใช้เทคโนโลยีการผลิตที่ซับซ้อน
    - ต้องติดตามว่าผู้ผลิตชิปรายใหญ่ เช่น TSMC และ Intel จะนำเทคโนโลยีนี้ไปใช้มากน้อยแค่ไหน
    - ตลาดเซมิคอนดักเตอร์ยังคงเผชิญกับความไม่แน่นอนด้านเศรษฐกิจและซัพพลายเชน
    - ต้องรอดูว่า Besi จะสามารถรักษาอัตราการเติบโตตามเป้าหมายที่ตั้งไว้ได้หรือไม่

    Besi เชื่อว่า เทคโนโลยี Hybrid Bonding จะเป็นกุญแจสำคัญในการพัฒนาชิปที่เร็วขึ้นและทรงพลังขึ้น อย่างไรก็ตาม ต้องติดตามว่าตลาดจะตอบรับเทคโนโลยีนี้อย่างไร

    https://www.thestar.com.my/tech/tech-news/2025/06/12/besi-lifts-its-forecast-expects-higher-demand-for-its-advanced-solutions
    🏭 Besi ปรับเป้าหมายทางการเงินระยะยาว คาดการณ์ความต้องการชิปเพิ่มขึ้น BE Semiconductor Industries (Besi) ปรับเป้าหมายทางการเงินระยะยาว โดยคาดการณ์ว่า ตลาดสำหรับเทคโนโลยีการจัดเรียงชิปขั้นสูงจะเติบโตอย่างมาก เนื่องจาก การพัฒนา AI และศูนย์ข้อมูล Besi เป็นผู้ผลิต เครื่องมือ Hybrid Bonding ที่แม่นยำที่สุดในโลก ซึ่งช่วยให้ สามารถเชื่อมต่อชิปหลายตัวเข้าด้วยกันโดยตรง ทำให้ ชิปมีประสิทธิภาพสูงขึ้นโดยไม่ต้องลดขนาดทรานซิสเตอร์ ✅ ข้อมูลจากข่าว - Besi ปรับเป้าหมายรายได้ระยะยาวเป็น 1.5-1.9 พันล้านยูโร จากเดิม 1 พันล้านยูโร - อัตรากำไรจากการดำเนินงานเพิ่มขึ้นเป็น 40%-55% จากเดิม 35%-50% - การพัฒนา AI และศูนย์ข้อมูลช่วยเพิ่มความต้องการเทคโนโลยี Hybrid Bonding - หุ้นของ Besi เพิ่มขึ้น 7.5% หลังประกาศปรับเป้าหมาย - นักวิเคราะห์จาก ING ระบุว่าตลาดสำหรับเทคโนโลยีการจัดเรียงชิปเติบโตขึ้นอย่างมาก 🔥 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์ เมื่อการลดขนาดทรานซิสเตอร์ เริ่มถึงขีดจำกัดทางกายภาพ ผู้ผลิตชิป ต้องหาทางเพิ่มประสิทธิภาพผ่านเทคโนโลยีการจัดเรียงชิปขั้นสูง ‼️ คำเตือนที่ควรพิจารณา - แม้ Hybrid Bonding จะช่วยเพิ่มประสิทธิภาพ แต่ยังต้องใช้เทคโนโลยีการผลิตที่ซับซ้อน - ต้องติดตามว่าผู้ผลิตชิปรายใหญ่ เช่น TSMC และ Intel จะนำเทคโนโลยีนี้ไปใช้มากน้อยแค่ไหน - ตลาดเซมิคอนดักเตอร์ยังคงเผชิญกับความไม่แน่นอนด้านเศรษฐกิจและซัพพลายเชน - ต้องรอดูว่า Besi จะสามารถรักษาอัตราการเติบโตตามเป้าหมายที่ตั้งไว้ได้หรือไม่ Besi เชื่อว่า เทคโนโลยี Hybrid Bonding จะเป็นกุญแจสำคัญในการพัฒนาชิปที่เร็วขึ้นและทรงพลังขึ้น อย่างไรก็ตาม ต้องติดตามว่าตลาดจะตอบรับเทคโนโลยีนี้อย่างไร https://www.thestar.com.my/tech/tech-news/2025/06/12/besi-lifts-its-forecast-expects-higher-demand-for-its-advanced-solutions
    WWW.THESTAR.COM.MY
    Besi lifts long-term financial targets, eyeing demand growth
    AMSTERDAM (Reuters) -BE Semiconductor Industries (Besi) raised its long-term financial targets on Thursday ahead of its investor day, saying the future is bright for its advanced chip stacking tools.
    0 ความคิดเห็น 0 การแบ่งปัน 78 มุมมอง 0 รีวิว
  • ⚡ Inversion Semiconductor เตรียมปฏิวัติการผลิตชิปด้วยเครื่องเร่งอนุภาคขนาดเล็ก
    Inversion Semiconductor ซึ่งเป็น สตาร์ทอัพที่ได้รับการสนับสนุนจาก Y Combinator กำลังพัฒนา เครื่องเร่งอนุภาคขนาดเล็กแบบตั้งโต๊ะ ที่สามารถ เพิ่มความเร็วในการผลิตชิปได้ถึง 15 เท่า โดยใช้ เทคนิค Laser Wakefield Acceleration (LWFA)

    🔍 วิธีการทำงานของเครื่องเร่งอนุภาค
    เครื่องเร่งอนุภาคของ Inversion Semiconductor มีขนาดเล็กกว่าเครื่องเร่งอนุภาคทั่วไปถึง 1,000 เท่า แต่สามารถ ให้กำลังสูงสุดถึง 10 kW ซึ่งมากกว่าเทคโนโลยีของ ASML ถึง 10 เท่า

    ✅ ข้อมูลจากข่าว
    - Inversion Semiconductor พัฒนาเครื่องเร่งอนุภาคขนาดเล็กเพื่อใช้ในกระบวนการผลิตชิป
    - เทคโนโลยีนี้สามารถเพิ่มความเร็วในการผลิตชิปได้ถึง 15 เท่า
    - ใช้ Laser Wakefield Acceleration (LWFA) ซึ่งช่วยให้สามารถเร่งอิเล็กตรอนได้อย่างมีประสิทธิภาพ
    - เครื่องเร่งอนุภาคนี้มีขนาดเล็กกว่าเครื่องเร่งอนุภาคทั่วไปถึง 1,000 เท่า
    - สามารถให้กำลังสูงสุดถึง 10 kW ซึ่งมากกว่าเทคโนโลยีของ ASML ถึง 10 เท่า

    🔥 ความท้าทายในการพัฒนาเทคโนโลยี
    แม้ว่าแนวคิดนี้จะมีศักยภาพสูง แต่ต้องใช้เลเซอร์ระดับเพตะวัตต์ ซึ่งมีต้นทุนสูงและต้องการระบบระบายความร้อนที่มีประสิทธิภาพ

    ‼️ คำเตือนที่ควรพิจารณา
    - ต้องใช้เลเซอร์ระดับเพตะวัตต์ ซึ่งมีต้นทุนสูงและใช้พลังงานมาก
    - Inversion Semiconductor ไม่มีประสบการณ์ในการผลิตเครื่องมือสำหรับโรงงานที่ทำงานตลอด 24 ชั่วโมง
    - ต้องพัฒนาอุปกรณ์ใหม่ทั้งหมด หากไม่สามารถร่วมมือกับ ASML หรือผู้ผลิตเครื่องมืออื่น ๆ
    - การใช้แหล่งกำเนิดแสงที่มีความยาวคลื่นต่ำกว่า 10nm อาจมีข้อจำกัดด้านการดูดซับแสงของวัสดุ

    หาก Inversion Semiconductor สามารถพัฒนาเทคโนโลยีนี้ได้สำเร็จ อาจช่วยให้ การผลิตชิปมีความเร็วสูงขึ้นและลดต้นทุน อย่างไรก็ตาม ต้องติดตามว่าบริษัทจะสามารถแก้ไขข้อจำกัดทางเทคนิคได้หรือไม่


    https://www.tomshardware.com/tech-industry/semiconductors/plans-to-shrink-particle-accelerators-by-1000x-could-speed-chipmaking-by-15x-inversion-semiconductor-proposes-tabletop-particle-accelerators-with-petawatt-lasers
    ⚡ Inversion Semiconductor เตรียมปฏิวัติการผลิตชิปด้วยเครื่องเร่งอนุภาคขนาดเล็ก Inversion Semiconductor ซึ่งเป็น สตาร์ทอัพที่ได้รับการสนับสนุนจาก Y Combinator กำลังพัฒนา เครื่องเร่งอนุภาคขนาดเล็กแบบตั้งโต๊ะ ที่สามารถ เพิ่มความเร็วในการผลิตชิปได้ถึง 15 เท่า โดยใช้ เทคนิค Laser Wakefield Acceleration (LWFA) 🔍 วิธีการทำงานของเครื่องเร่งอนุภาค เครื่องเร่งอนุภาคของ Inversion Semiconductor มีขนาดเล็กกว่าเครื่องเร่งอนุภาคทั่วไปถึง 1,000 เท่า แต่สามารถ ให้กำลังสูงสุดถึง 10 kW ซึ่งมากกว่าเทคโนโลยีของ ASML ถึง 10 เท่า ✅ ข้อมูลจากข่าว - Inversion Semiconductor พัฒนาเครื่องเร่งอนุภาคขนาดเล็กเพื่อใช้ในกระบวนการผลิตชิป - เทคโนโลยีนี้สามารถเพิ่มความเร็วในการผลิตชิปได้ถึง 15 เท่า - ใช้ Laser Wakefield Acceleration (LWFA) ซึ่งช่วยให้สามารถเร่งอิเล็กตรอนได้อย่างมีประสิทธิภาพ - เครื่องเร่งอนุภาคนี้มีขนาดเล็กกว่าเครื่องเร่งอนุภาคทั่วไปถึง 1,000 เท่า - สามารถให้กำลังสูงสุดถึง 10 kW ซึ่งมากกว่าเทคโนโลยีของ ASML ถึง 10 เท่า 🔥 ความท้าทายในการพัฒนาเทคโนโลยี แม้ว่าแนวคิดนี้จะมีศักยภาพสูง แต่ต้องใช้เลเซอร์ระดับเพตะวัตต์ ซึ่งมีต้นทุนสูงและต้องการระบบระบายความร้อนที่มีประสิทธิภาพ ‼️ คำเตือนที่ควรพิจารณา - ต้องใช้เลเซอร์ระดับเพตะวัตต์ ซึ่งมีต้นทุนสูงและใช้พลังงานมาก - Inversion Semiconductor ไม่มีประสบการณ์ในการผลิตเครื่องมือสำหรับโรงงานที่ทำงานตลอด 24 ชั่วโมง - ต้องพัฒนาอุปกรณ์ใหม่ทั้งหมด หากไม่สามารถร่วมมือกับ ASML หรือผู้ผลิตเครื่องมืออื่น ๆ - การใช้แหล่งกำเนิดแสงที่มีความยาวคลื่นต่ำกว่า 10nm อาจมีข้อจำกัดด้านการดูดซับแสงของวัสดุ หาก Inversion Semiconductor สามารถพัฒนาเทคโนโลยีนี้ได้สำเร็จ อาจช่วยให้ การผลิตชิปมีความเร็วสูงขึ้นและลดต้นทุน อย่างไรก็ตาม ต้องติดตามว่าบริษัทจะสามารถแก้ไขข้อจำกัดทางเทคนิคได้หรือไม่ https://www.tomshardware.com/tech-industry/semiconductors/plans-to-shrink-particle-accelerators-by-1000x-could-speed-chipmaking-by-15x-inversion-semiconductor-proposes-tabletop-particle-accelerators-with-petawatt-lasers
    0 ความคิดเห็น 0 การแบ่งปัน 188 มุมมอง 0 รีวิว
  • 🚀 Intel Panther Lake: ก้าวสำคัญสู่ยุค AI และประสิทธิภาพสูง
    Intel กำลังเตรียมเปิดตัว Panther Lake ซึ่งเป็น ซีพียูรุ่นใหม่ที่ใช้กระบวนการผลิต 18A (1.8nm-class) โดยเน้นไปที่ การเพิ่มประสิทธิภาพและการประมวลผล AI

    Panther Lake จะเปิดตัวใน ครึ่งหลังของปี 2025 และจะถูกใช้ใน แล็ปท็อปและอุปกรณ์พกพา โดยใช้ RibbonFET และ PowerVia ซึ่งช่วยให้ ประสิทธิภาพสูงขึ้นและใช้พลังงานน้อยลง

    ✅ ข้อมูลจากข่าว
    - Intel Panther Lake จะเปิดตัวในครึ่งหลังของปี 2025 และวางจำหน่ายในต้นปี 2026
    - ใช้กระบวนการผลิต 18A (1.8nm-class) พร้อมเทคโนโลยี RibbonFET และ PowerVia
    - ใช้สถาปัตยกรรม Multi-Chip Module (MCM) แบบ 5 Tile
    - มี Cougar Cove P-cores และ Darkmont E-cores เพื่อเพิ่มประสิทธิภาพ
    - กราฟิก Xe3 Celestial iGPU อาจเทียบเท่ากับ GPU แยกระดับเริ่มต้น
    - รองรับ LPDDR5X และ DDR5 เพื่อเพิ่มแบนด์วิดท์หน่วยความจำ

    🔥 การแข่งขันในตลาด AI และอุปกรณ์พกพา
    Intel ตั้งเป้าให้ Panther Lake แข่งขันกับ Apple Silicon, Snapdragon X Elite และ AMD Strix Point โดยเน้นไปที่ การประมวลผล AI และประสิทธิภาพสูงในอุปกรณ์พกพา

    ‼️ คำเตือนที่ควรพิจารณา
    - Panther Lake จะไม่มีรุ่นสำหรับเดสก์ท็อปในช่วงเปิดตัว
    - ต้องติดตามว่าประสิทธิภาพของ Xe3 Celestial iGPU จะสามารถแข่งขันกับ GPU แยกได้จริงหรือไม่
    - การใช้กระบวนการผลิต 18A อาจมีความท้าทายด้านต้นทุนและการผลิต
    - ต้องรอดูว่า Intel จะสามารถแข่งขันกับ Apple และ Qualcomm ในตลาด AI ได้หรือไม่

    https://computercity.com/hardware/processors/intel-panther-lake-coming-in-2025-latest-news
    🚀 Intel Panther Lake: ก้าวสำคัญสู่ยุค AI และประสิทธิภาพสูง Intel กำลังเตรียมเปิดตัว Panther Lake ซึ่งเป็น ซีพียูรุ่นใหม่ที่ใช้กระบวนการผลิต 18A (1.8nm-class) โดยเน้นไปที่ การเพิ่มประสิทธิภาพและการประมวลผล AI Panther Lake จะเปิดตัวใน ครึ่งหลังของปี 2025 และจะถูกใช้ใน แล็ปท็อปและอุปกรณ์พกพา โดยใช้ RibbonFET และ PowerVia ซึ่งช่วยให้ ประสิทธิภาพสูงขึ้นและใช้พลังงานน้อยลง ✅ ข้อมูลจากข่าว - Intel Panther Lake จะเปิดตัวในครึ่งหลังของปี 2025 และวางจำหน่ายในต้นปี 2026 - ใช้กระบวนการผลิต 18A (1.8nm-class) พร้อมเทคโนโลยี RibbonFET และ PowerVia - ใช้สถาปัตยกรรม Multi-Chip Module (MCM) แบบ 5 Tile - มี Cougar Cove P-cores และ Darkmont E-cores เพื่อเพิ่มประสิทธิภาพ - กราฟิก Xe3 Celestial iGPU อาจเทียบเท่ากับ GPU แยกระดับเริ่มต้น - รองรับ LPDDR5X และ DDR5 เพื่อเพิ่มแบนด์วิดท์หน่วยความจำ 🔥 การแข่งขันในตลาด AI และอุปกรณ์พกพา Intel ตั้งเป้าให้ Panther Lake แข่งขันกับ Apple Silicon, Snapdragon X Elite และ AMD Strix Point โดยเน้นไปที่ การประมวลผล AI และประสิทธิภาพสูงในอุปกรณ์พกพา ‼️ คำเตือนที่ควรพิจารณา - Panther Lake จะไม่มีรุ่นสำหรับเดสก์ท็อปในช่วงเปิดตัว - ต้องติดตามว่าประสิทธิภาพของ Xe3 Celestial iGPU จะสามารถแข่งขันกับ GPU แยกได้จริงหรือไม่ - การใช้กระบวนการผลิต 18A อาจมีความท้าทายด้านต้นทุนและการผลิต - ต้องรอดูว่า Intel จะสามารถแข่งขันกับ Apple และ Qualcomm ในตลาด AI ได้หรือไม่ https://computercity.com/hardware/processors/intel-panther-lake-coming-in-2025-latest-news
    COMPUTERCITY.COM
    Intel Panther Lake Coming In 2025: Latest News
    Intel’s Panther Lake processors are shaping up to be one of the company’s most ambitious generational shifts in years—bringing together bleeding-edge
    0 ความคิดเห็น 0 การแบ่งปัน 129 มุมมอง 0 รีวิว
  • ซานโตรินี (Santorini) 🇬🇷 คือ “ราชินีแห่งทะเลอีเจียน” ที่หลายคนใฝ่ฝันจะไปให้ได้สักครั้งในชีวิต 🌊🏛️

    ---

    💙 ทำไมซานโตรินีถึงฮิตสุดๆ?

    🔹 วิวบ้านสีขาว–หลังคาฟ้า
    ไฮไลต์ที่ iconic มาก ถ่ายจากมุมไหนก็คือ *โคตรปัง* 📸
    โดยเฉพาะที่หมู่บ้าน **Oia** (อียา)

    🔹 ชมพระอาทิตย์ตกสวยระดับโลก
    ที่หมู่บ้าน Oia คนจะมานั่งรอ Sunset ทุกเย็น
    🌅 แสงทองสาดลงตัวเมืองจนกลายเป็นสีทองอมชมพู

    🔹 สระว่ายน้ำ infinity + วิวทะเล
    โรงแรมบนหน้าผาในย่าน Fira หรือ Imerovigli คือ dream stay ของสาย honeymoon 💦

    🔹 หาดภูเขาไฟสุดแปลก
    เช่น Red Beach (หินสีแดง), Black Beach (ทรายดำ)
    🏖️ ไม่เหมือนทะเลที่ไหนเลย

    🔹 ไวน์ & อาหารอร่อย
    ไวน์ขาวจากองุ่นพันธุ์พื้นเมือง
    ของทะเลสดๆ + ชีส + น้ำมันมะกอก 🧀🍷

    ---
    ✈️ เที่ยวซานโตรินียังไง?
    📍 อยู่ทางใต้ของกรีซ ต้องนั่งเครื่องบินหรือล่องเรือจากเอเธนส์

    ✈️ ไฟลต์ในประเทศ ไปสนามบิน Santorini (JTR)
    🛳 เรือเฟอร์รี่ จากท่าเรือ Piraeus (เอเธนส์) ใช้เวลา 5–8 ชม.

    ---
    📌 ไปช่วงไหนดี?
    🗓️ เมษายน – ตุลาคม (ฤดูร้อน + พระอาทิตย์ตกดึก)
    ☀️ เดือน *.ค.–ก.ย. คือพีคสุด แดดดี ทะเลใส ฟ้าเปิด




    LINE ID: @etravelway 78s.me/d0c307
    Facebook: etravelway 78s.me/8a4061
    Twitter: @eTravelWay 78s.me/e603f5
    Tiktok : https://78s.me/543eb9
    📷: etravelway 78s.me/05e8da
    ☎️: 0 2116 6395

    #แพ็คเกจทัวร์ #จัดกรุ๊ปส่วนตัว #eTravelway
    ซานโตรินี (Santorini) 🇬🇷 คือ “ราชินีแห่งทะเลอีเจียน” ที่หลายคนใฝ่ฝันจะไปให้ได้สักครั้งในชีวิต 🌊🏛️ --- 💙 ทำไมซานโตรินีถึงฮิตสุดๆ? 🔹 วิวบ้านสีขาว–หลังคาฟ้า ไฮไลต์ที่ iconic มาก ถ่ายจากมุมไหนก็คือ *โคตรปัง* 📸 โดยเฉพาะที่หมู่บ้าน **Oia** (อียา) 🔹 ชมพระอาทิตย์ตกสวยระดับโลก ที่หมู่บ้าน Oia คนจะมานั่งรอ Sunset ทุกเย็น 🌅 แสงทองสาดลงตัวเมืองจนกลายเป็นสีทองอมชมพู 🔹 สระว่ายน้ำ infinity + วิวทะเล โรงแรมบนหน้าผาในย่าน Fira หรือ Imerovigli คือ dream stay ของสาย honeymoon 💦 🔹 หาดภูเขาไฟสุดแปลก เช่น Red Beach (หินสีแดง), Black Beach (ทรายดำ) 🏖️ ไม่เหมือนทะเลที่ไหนเลย 🔹 ไวน์ & อาหารอร่อย ไวน์ขาวจากองุ่นพันธุ์พื้นเมือง ของทะเลสดๆ + ชีส + น้ำมันมะกอก 🧀🍷 --- ✈️ เที่ยวซานโตรินียังไง? 📍 อยู่ทางใต้ของกรีซ ต้องนั่งเครื่องบินหรือล่องเรือจากเอเธนส์ ✈️ ไฟลต์ในประเทศ ไปสนามบิน Santorini (JTR) 🛳 เรือเฟอร์รี่ จากท่าเรือ Piraeus (เอเธนส์) ใช้เวลา 5–8 ชม. --- 📌 ไปช่วงไหนดี? 🗓️ เมษายน – ตุลาคม (ฤดูร้อน + พระอาทิตย์ตกดึก) ☀️ เดือน *.ค.–ก.ย. คือพีคสุด แดดดี ทะเลใส ฟ้าเปิด LINE ID: @etravelway 78s.me/d0c307 Facebook: etravelway 78s.me/8a4061 Twitter: @eTravelWay 78s.me/e603f5 Tiktok : https://78s.me/543eb9 📷: etravelway 78s.me/05e8da ☎️: 0 2116 6395 #แพ็คเกจทัวร์ #จัดกรุ๊ปส่วนตัว #eTravelway
    0 ความคิดเห็น 0 การแบ่งปัน 241 มุมมอง 0 รีวิว
  • 🏭 GlobalFoundries ทุ่มงบ $16 พันล้าน ขยายการผลิตชิปในสหรัฐฯ
    GlobalFoundries ซึ่งเป็น ผู้ผลิตชิปรายใหญ่ที่สุดในสหรัฐฯ ได้ประกาศแผนลงทุน $16 พันล้าน เพื่อขยายการผลิตชิปในประเทศ โดยมีเป้าหมายเพื่อ ตอบสนองความต้องการที่เพิ่มขึ้นจากลูกค้าในสหรัฐฯ เช่น Apple และ Qualcomm

    จากงบประมาณทั้งหมด $13 พันล้าน จะถูกใช้เพื่อ ขยายโรงงานในนิวยอร์กและเวอร์มอนต์ ขณะที่ $3 พันล้าน จะถูกนำไปใช้ในการ วิจัยเทคโนโลยีใหม่ เช่น การบรรจุชิปขั้นสูงและชิปโฟโตนิก

    GlobalFoundries มองว่า ตลาดชิปกำลังเติบโตอย่างรวดเร็วจากความต้องการด้าน AI และบริษัทกำลัง มุ่งเน้นไปที่ชิปที่ใช้พลังงานต่ำ ซึ่งเป็นที่ต้องการสูงในศูนย์ข้อมูล

    ✅ ข้อมูลจากข่าว
    - GlobalFoundries ลงทุน $16 พันล้าน เพื่อขยายการผลิตชิปในสหรัฐฯ
    - $13 พันล้าน ใช้ขยายโรงงานในนิวยอร์กและเวอร์มอนต์
    - $3 พันล้าน ใช้ในการวิจัยเทคโนโลยีใหม่ เช่น การบรรจุชิปขั้นสูงและชิปโฟโตนิก
    - บริษัทมุ่งเน้นไปที่ชิปพลังงานต่ำเพื่อตอบสนองความต้องการของศูนย์ข้อมูล
    - CEO Tim Breen ระบุว่าลูกค้าต้องการลดการพึ่งพาผู้ผลิตที่มีโรงงานอยู่ในที่เดียว เช่น TSMC

    ‼️ คำเตือนที่ควรพิจารณา
    - GlobalFoundries ยังไม่สามารถผลิตชิปที่มีขนาดเล็กกว่า 12LP+ (เทียบเท่า 10nm ของ TSMC)
    - แม้จะลงทุนมหาศาล แต่บริษัทมีส่วนแบ่งตลาดเพียง 5% เทียบกับ TSMC ที่ครองตลาดกว่า 50%
    - ความไม่แน่นอนของนโยบายภาษีของสหรัฐฯ อาจทำให้โครงการล่าช้า
    - ต้องติดตามว่าการลงทุนนี้จะช่วยให้ GlobalFoundries แข่งขันกับผู้ผลิตชิปรายใหญ่อื่น ๆ ได้หรือไม่

    การลงทุนครั้งนี้อาจช่วยให้ สหรัฐฯ มีความมั่นคงด้านการผลิตชิปมากขึ้น และลดการพึ่งพา TSMC ซึ่งมีโรงงานหลักอยู่ในไต้หวัน อย่างไรก็ตาม ต้องติดตามว่าการขยายโรงงานจะสามารถตอบสนองความต้องการของตลาด AI ได้มากน้อยเพียงใด

    https://www.tomshardware.com/tech-industry/semiconductors/globalfoundries-announces-usd16-billion-u-s-chip-production-spend-striking-spending-boom-follows-demand-from-domestic-customers
    🏭 GlobalFoundries ทุ่มงบ $16 พันล้าน ขยายการผลิตชิปในสหรัฐฯ GlobalFoundries ซึ่งเป็น ผู้ผลิตชิปรายใหญ่ที่สุดในสหรัฐฯ ได้ประกาศแผนลงทุน $16 พันล้าน เพื่อขยายการผลิตชิปในประเทศ โดยมีเป้าหมายเพื่อ ตอบสนองความต้องการที่เพิ่มขึ้นจากลูกค้าในสหรัฐฯ เช่น Apple และ Qualcomm จากงบประมาณทั้งหมด $13 พันล้าน จะถูกใช้เพื่อ ขยายโรงงานในนิวยอร์กและเวอร์มอนต์ ขณะที่ $3 พันล้าน จะถูกนำไปใช้ในการ วิจัยเทคโนโลยีใหม่ เช่น การบรรจุชิปขั้นสูงและชิปโฟโตนิก GlobalFoundries มองว่า ตลาดชิปกำลังเติบโตอย่างรวดเร็วจากความต้องการด้าน AI และบริษัทกำลัง มุ่งเน้นไปที่ชิปที่ใช้พลังงานต่ำ ซึ่งเป็นที่ต้องการสูงในศูนย์ข้อมูล ✅ ข้อมูลจากข่าว - GlobalFoundries ลงทุน $16 พันล้าน เพื่อขยายการผลิตชิปในสหรัฐฯ - $13 พันล้าน ใช้ขยายโรงงานในนิวยอร์กและเวอร์มอนต์ - $3 พันล้าน ใช้ในการวิจัยเทคโนโลยีใหม่ เช่น การบรรจุชิปขั้นสูงและชิปโฟโตนิก - บริษัทมุ่งเน้นไปที่ชิปพลังงานต่ำเพื่อตอบสนองความต้องการของศูนย์ข้อมูล - CEO Tim Breen ระบุว่าลูกค้าต้องการลดการพึ่งพาผู้ผลิตที่มีโรงงานอยู่ในที่เดียว เช่น TSMC ‼️ คำเตือนที่ควรพิจารณา - GlobalFoundries ยังไม่สามารถผลิตชิปที่มีขนาดเล็กกว่า 12LP+ (เทียบเท่า 10nm ของ TSMC) - แม้จะลงทุนมหาศาล แต่บริษัทมีส่วนแบ่งตลาดเพียง 5% เทียบกับ TSMC ที่ครองตลาดกว่า 50% - ความไม่แน่นอนของนโยบายภาษีของสหรัฐฯ อาจทำให้โครงการล่าช้า - ต้องติดตามว่าการลงทุนนี้จะช่วยให้ GlobalFoundries แข่งขันกับผู้ผลิตชิปรายใหญ่อื่น ๆ ได้หรือไม่ การลงทุนครั้งนี้อาจช่วยให้ สหรัฐฯ มีความมั่นคงด้านการผลิตชิปมากขึ้น และลดการพึ่งพา TSMC ซึ่งมีโรงงานหลักอยู่ในไต้หวัน อย่างไรก็ตาม ต้องติดตามว่าการขยายโรงงานจะสามารถตอบสนองความต้องการของตลาด AI ได้มากน้อยเพียงใด https://www.tomshardware.com/tech-industry/semiconductors/globalfoundries-announces-usd16-billion-u-s-chip-production-spend-striking-spending-boom-follows-demand-from-domestic-customers
    0 ความคิดเห็น 0 การแบ่งปัน 183 มุมมอง 0 รีวิว
  • 🚀 Nvidia พัฒนา AI Chip รุ่นใหม่สำหรับตลาดจีน
    หลังจากที่สหรัฐฯ สั่งห้ามส่งออกชิป H20 ไปยังจีน Nvidia กำลังพัฒนา B30 ซึ่งเป็น ชิป AI รุ่นใหม่ที่ออกแบบให้สอดคล้องกับข้อจำกัดด้านการส่งออก โดยใช้ สถาปัตยกรรม Blackwell และอาจรองรับ NVLink เพื่อสร้าง คลัสเตอร์ประสิทธิภาพสูง

    B30 เป็น หนึ่งในหลายรุ่นของตระกูล BXX ซึ่งมีการเปลี่ยนชื่อจาก RTX Pro 6000D เป็น B40 และล่าสุดเป็น B30 โดยคาดว่า จะมีหลายเวอร์ชันสำหรับตลาดจีน

    แม้ว่าหลายฝ่ายคาดว่า B30 จะรองรับ NVLink แต่ Nvidia ไม่ได้รวม NVLink ในชิปสำหรับผู้บริโภคตั้งแต่รุ่นก่อนหน้า อย่างไรก็ตาม บริษัทอาจใช้ ConnectX-8 SuperNICs ที่มี PCIe 6.0 switches เพื่อให้สามารถเชื่อมต่อ GPU หลายตัวได้

    ✅ ข้อมูลจากข่าว
    - Nvidia พัฒนา B30 เพื่อให้สอดคล้องกับข้อจำกัดด้านการส่งออกของสหรัฐฯ
    - B30 ใช้สถาปัตยกรรม Blackwell และอาจรองรับ NVLink หรือ ConnectX-8 SuperNICs
    - ชิปนี้ใช้ GDDR7 และ GB20X silicon ซึ่งเป็นเทคโนโลยีเดียวกับ RTX 50 GPUs
    - Nvidia เปิดตัว RTX Pro Blackwell servers ที่ใช้ ConnectX-8 SuperNICs สำหรับการเชื่อมต่อ GPU
    - Jensen Huang ระบุว่า Nvidia จะไม่พัฒนา Hopper-based alternatives สำหรับตลาดจีนอีกต่อไป

    ‼️ คำเตือนที่ควรพิจารณา
    - NVLink อาจไม่ถูกนำมาใช้ใน B30 เนื่องจาก Nvidia ไม่ได้รวมไว้ในชิปสำหรับผู้บริโภค
    - สหรัฐฯ กำหนดข้อจำกัดด้าน memory bandwidth และ interconnect bandwidth เพื่อป้องกันการใช้ชิป AI ในการสร้างซูเปอร์คอมพิวเตอร์ทางทหาร
    - AMD รายงานว่าการแบนชิป MI308 อาจทำให้สูญเสียรายได้สูงถึง $800 ล้าน
    - Jensen Huang เตือนว่าสหรัฐฯ อาจสูญเสียความสามารถในการแข่งขัน หากจีนพัฒนาเทคโนโลยีที่สามารถแข่งขันกับ Nvidia ได้

    B30 อาจช่วยให้ Nvidia สามารถรักษาตลาดจีนไว้ได้ แม้จะมีข้อจำกัดด้านการส่งออก อย่างไรก็ตาม ต้องติดตามว่าจีนจะพัฒนาเทคโนโลยีของตนเองเพื่อแข่งขันกับ Nvidia หรือไม่

    https://www.tomshardware.com/pc-components/gpus/nvidia-reportedly-developing-new-ai-chip-for-china-that-meets-export-controls-b30-could-include-nvlink-for-creation-of-high-performance-clusters
    🚀 Nvidia พัฒนา AI Chip รุ่นใหม่สำหรับตลาดจีน หลังจากที่สหรัฐฯ สั่งห้ามส่งออกชิป H20 ไปยังจีน Nvidia กำลังพัฒนา B30 ซึ่งเป็น ชิป AI รุ่นใหม่ที่ออกแบบให้สอดคล้องกับข้อจำกัดด้านการส่งออก โดยใช้ สถาปัตยกรรม Blackwell และอาจรองรับ NVLink เพื่อสร้าง คลัสเตอร์ประสิทธิภาพสูง B30 เป็น หนึ่งในหลายรุ่นของตระกูล BXX ซึ่งมีการเปลี่ยนชื่อจาก RTX Pro 6000D เป็น B40 และล่าสุดเป็น B30 โดยคาดว่า จะมีหลายเวอร์ชันสำหรับตลาดจีน แม้ว่าหลายฝ่ายคาดว่า B30 จะรองรับ NVLink แต่ Nvidia ไม่ได้รวม NVLink ในชิปสำหรับผู้บริโภคตั้งแต่รุ่นก่อนหน้า อย่างไรก็ตาม บริษัทอาจใช้ ConnectX-8 SuperNICs ที่มี PCIe 6.0 switches เพื่อให้สามารถเชื่อมต่อ GPU หลายตัวได้ ✅ ข้อมูลจากข่าว - Nvidia พัฒนา B30 เพื่อให้สอดคล้องกับข้อจำกัดด้านการส่งออกของสหรัฐฯ - B30 ใช้สถาปัตยกรรม Blackwell และอาจรองรับ NVLink หรือ ConnectX-8 SuperNICs - ชิปนี้ใช้ GDDR7 และ GB20X silicon ซึ่งเป็นเทคโนโลยีเดียวกับ RTX 50 GPUs - Nvidia เปิดตัว RTX Pro Blackwell servers ที่ใช้ ConnectX-8 SuperNICs สำหรับการเชื่อมต่อ GPU - Jensen Huang ระบุว่า Nvidia จะไม่พัฒนา Hopper-based alternatives สำหรับตลาดจีนอีกต่อไป ‼️ คำเตือนที่ควรพิจารณา - NVLink อาจไม่ถูกนำมาใช้ใน B30 เนื่องจาก Nvidia ไม่ได้รวมไว้ในชิปสำหรับผู้บริโภค - สหรัฐฯ กำหนดข้อจำกัดด้าน memory bandwidth และ interconnect bandwidth เพื่อป้องกันการใช้ชิป AI ในการสร้างซูเปอร์คอมพิวเตอร์ทางทหาร - AMD รายงานว่าการแบนชิป MI308 อาจทำให้สูญเสียรายได้สูงถึง $800 ล้าน - Jensen Huang เตือนว่าสหรัฐฯ อาจสูญเสียความสามารถในการแข่งขัน หากจีนพัฒนาเทคโนโลยีที่สามารถแข่งขันกับ Nvidia ได้ B30 อาจช่วยให้ Nvidia สามารถรักษาตลาดจีนไว้ได้ แม้จะมีข้อจำกัดด้านการส่งออก อย่างไรก็ตาม ต้องติดตามว่าจีนจะพัฒนาเทคโนโลยีของตนเองเพื่อแข่งขันกับ Nvidia หรือไม่ https://www.tomshardware.com/pc-components/gpus/nvidia-reportedly-developing-new-ai-chip-for-china-that-meets-export-controls-b30-could-include-nvlink-for-creation-of-high-performance-clusters
    0 ความคิดเห็น 0 การแบ่งปัน 248 มุมมอง 0 รีวิว
  • ลุงหล่ะงงใจกับไต้หวัน บอกว่าตัวเองไม่ใช่จีน แต่จะทำอะไรต้องไปหารือสหรัฐฯ ?! คืออยากรัฐของอเมริกามากกว่า !??!

    🏭 TSMC อาจสร้างโรงงานผลิตชิปใน UAE: การเจรจากับสหรัฐฯ กลับมาอีกครั้ง

    Taiwan Semiconductor Manufacturing Company (TSMC) กำลังอยู่ในขั้นตอนการเจรจากับ รัฐบาลสหรัฐฯ เพื่อพิจารณาการสร้าง โรงงานผลิตชิปในสหรัฐอาหรับเอมิเรตส์ (UAE) ซึ่งอาจมีขนาดใกล้เคียงกับโรงงานที่กำลังสร้างใน รัฐแอริโซนา

    TSMC ได้พบกับ Steve Witkoff ทูตพิเศษของสหรัฐฯ ประจำตะวันออกกลาง และ MGX บริษัทลงทุนของรัฐบาล UAE เพื่อหารือเกี่ยวกับ การลงทุนและการสร้างโรงงานผลิตชิป

    ก่อนหน้านี้ Samsung และ TSMC เคยพิจารณาสร้างโรงงานใน UAE ในไตรมาสที่ 3 ปี 2024 แต่การเจรจาในอดีตไม่ประสบความสำเร็จ เนื่องจาก รัฐบาลสหรัฐฯ ต้องการควบคุมโรงงานโดยตรง ซึ่งไม่เป็นที่ยอมรับของ UAE

    ✅ ข้อมูลจากข่าว
    - TSMC กำลังเจรจากับรัฐบาลสหรัฐฯ เพื่อสร้างโรงงานผลิตชิปใน UAE
    - โรงงานอาจมีขนาดใกล้เคียงกับโรงงานที่กำลังสร้างในรัฐแอริโซนา
    - TSMC พบกับ Steve Witkoff และ MGX เพื่อหารือเกี่ยวกับการลงทุน
    - Samsung และ TSMC เคยพิจารณาสร้างโรงงานใน UAE ในปี 2024 แต่การเจรจาไม่สำเร็จ
    - UAE ต้องการเป็นศูนย์กลางเทคโนโลยีของตะวันออกกลาง และได้รับอนุมัติให้ซื้อ Nvidia AI GPUs ผ่าน G42

    ‼️ คำเตือนที่ควรพิจารณา
    - รัฐบาลสหรัฐฯ ต้องการควบคุมโรงงานโดยตรง ซึ่งอาจเป็นอุปสรรคต่อการเจรจา
    - UAE ไม่มีบุคลากรที่มีความเชี่ยวชาญเพียงพอในการสร้างและดำเนินงานโรงงานผลิตชิป
    - การดึงบุคลากรจากโรงงานอื่นของ TSMC อาจส่งผลกระทบต่อการดำเนินงานในสหรัฐฯ
    - ความสัมพันธ์ทางการเมืองระหว่าง UAE กับจีนและอิหร่านอาจเป็นปัจจัยเสี่ยงด้านความมั่นคงของสหรัฐฯ

    UAE กำลังผลักดันให้ กลายเป็นศูนย์กลางเทคโนโลยีของตะวันออกกลาง และหากโครงการนี้สำเร็จ อาจช่วยให้ภูมิภาคมีความสามารถในการผลิตชิปขั้นสูง อย่างไรก็ตาม ต้องติดตามว่าการเจรจาจะสามารถบรรลุข้อตกลงได้หรือไม่

    https://www.tomshardware.com/tech-industry/semiconductors/tsmc-reopening-discussions-with-washington-to-build-chip-manufacturing-plant-in-uae-report
    ลุงหล่ะงงใจกับไต้หวัน บอกว่าตัวเองไม่ใช่จีน แต่จะทำอะไรต้องไปหารือสหรัฐฯ ?! คืออยากรัฐของอเมริกามากกว่า !??! 🏭 TSMC อาจสร้างโรงงานผลิตชิปใน UAE: การเจรจากับสหรัฐฯ กลับมาอีกครั้ง Taiwan Semiconductor Manufacturing Company (TSMC) กำลังอยู่ในขั้นตอนการเจรจากับ รัฐบาลสหรัฐฯ เพื่อพิจารณาการสร้าง โรงงานผลิตชิปในสหรัฐอาหรับเอมิเรตส์ (UAE) ซึ่งอาจมีขนาดใกล้เคียงกับโรงงานที่กำลังสร้างใน รัฐแอริโซนา TSMC ได้พบกับ Steve Witkoff ทูตพิเศษของสหรัฐฯ ประจำตะวันออกกลาง และ MGX บริษัทลงทุนของรัฐบาล UAE เพื่อหารือเกี่ยวกับ การลงทุนและการสร้างโรงงานผลิตชิป ก่อนหน้านี้ Samsung และ TSMC เคยพิจารณาสร้างโรงงานใน UAE ในไตรมาสที่ 3 ปี 2024 แต่การเจรจาในอดีตไม่ประสบความสำเร็จ เนื่องจาก รัฐบาลสหรัฐฯ ต้องการควบคุมโรงงานโดยตรง ซึ่งไม่เป็นที่ยอมรับของ UAE ✅ ข้อมูลจากข่าว - TSMC กำลังเจรจากับรัฐบาลสหรัฐฯ เพื่อสร้างโรงงานผลิตชิปใน UAE - โรงงานอาจมีขนาดใกล้เคียงกับโรงงานที่กำลังสร้างในรัฐแอริโซนา - TSMC พบกับ Steve Witkoff และ MGX เพื่อหารือเกี่ยวกับการลงทุน - Samsung และ TSMC เคยพิจารณาสร้างโรงงานใน UAE ในปี 2024 แต่การเจรจาไม่สำเร็จ - UAE ต้องการเป็นศูนย์กลางเทคโนโลยีของตะวันออกกลาง และได้รับอนุมัติให้ซื้อ Nvidia AI GPUs ผ่าน G42 ‼️ คำเตือนที่ควรพิจารณา - รัฐบาลสหรัฐฯ ต้องการควบคุมโรงงานโดยตรง ซึ่งอาจเป็นอุปสรรคต่อการเจรจา - UAE ไม่มีบุคลากรที่มีความเชี่ยวชาญเพียงพอในการสร้างและดำเนินงานโรงงานผลิตชิป - การดึงบุคลากรจากโรงงานอื่นของ TSMC อาจส่งผลกระทบต่อการดำเนินงานในสหรัฐฯ - ความสัมพันธ์ทางการเมืองระหว่าง UAE กับจีนและอิหร่านอาจเป็นปัจจัยเสี่ยงด้านความมั่นคงของสหรัฐฯ UAE กำลังผลักดันให้ กลายเป็นศูนย์กลางเทคโนโลยีของตะวันออกกลาง และหากโครงการนี้สำเร็จ อาจช่วยให้ภูมิภาคมีความสามารถในการผลิตชิปขั้นสูง อย่างไรก็ตาม ต้องติดตามว่าการเจรจาจะสามารถบรรลุข้อตกลงได้หรือไม่ https://www.tomshardware.com/tech-industry/semiconductors/tsmc-reopening-discussions-with-washington-to-build-chip-manufacturing-plant-in-uae-report
    0 ความคิดเห็น 0 การแบ่งปัน 258 มุมมอง 0 รีวิว
  • 🚀 ชิป SM2324 ของ Silicon Motion: ก้าวใหม่ของ SSD ความจุสูง
    Silicon Motion เปิดตัว SM2324 ซึ่งเป็น ชิปควบคุม SSD แบบ USB4 ที่สามารถรองรับความจุสูงสุด 32TB และมีความเร็วในการอ่านข้อมูลถึง 4,000MB/s

    SM2324 ถูกออกแบบมาเพื่อ ลดจำนวนชิ้นส่วนที่ใช้ในการผลิต SSD ทำให้ต้นทุนของผู้ผลิตลดลง และช่วยให้สามารถสร้าง SSD ที่มีขนาดกะทัดรัดขึ้น

    นอกจากนี้ ชิปนี้ยังรองรับ 3D TLC และ QLC NAND รวมถึง Power Delivery 3.1 ซึ่งช่วยให้สามารถจัดการพลังงานได้อย่างมีประสิทธิภาพ

    ✅ ข้อมูลจากข่าว
    - SM2324 เป็นชิปควบคุม SSD แบบ USB4 ที่รองรับความจุสูงสุด 32TB
    - มีความเร็วในการอ่านข้อมูลถึง 4,000MB/s และเขียนข้อมูลสูงสุด 3,809MB/s
    - รองรับ 3D TLC และ QLC NAND รวมถึง Power Delivery 3.1
    - ใช้กระบวนการผลิต 12nm ของ TSMC เพื่อประหยัดพลังงาน
    - รองรับ Windows, macOS, Linux และ Apple ProRes workflows บน iPhone

    ‼️ คำเตือนที่ควรพิจารณา
    - แม้จะลดต้นทุนการผลิต แต่ SSD ที่ใช้ SM2324 อาจยังมีราคาสูงสำหรับผู้ใช้ทั่วไป
    - ต้องมีระบบระบายความร้อนที่ดีเพื่อให้ SSD ทำงานได้เต็มประสิทธิภาพ
    - ข้อจำกัดด้านพลังงานและการจัดการความร้อนอาจทำให้ SSD ขนาด 32TB ไม่เหมาะกับทุกการใช้งาน
    - ต้องติดตามว่าผู้ผลิต SSD รายใดจะนำ SM2324 ไปใช้ในผลิตภัณฑ์ของตน

    SM2324 อาจช่วยให้ตลาด SSD ความจุสูงแบบพกพา เติบโตขึ้น โดยเฉพาะสำหรับ ผู้ใช้ระดับมืออาชีพ เช่น นักสร้างภาพยนตร์และผู้ที่ต้องการสำรองข้อมูลขนาดใหญ่ อย่างไรก็ตาม ต้องติดตามว่าผู้ผลิตจะสามารถทำให้ SSD ที่ใช้ชิปนี้มีราคาที่เข้าถึงได้หรือไม่

    📢📢 ไม่ต้องพูดมาก ลุงสู้ทุกราคา !! 😅😅

    https://www.techradar.com/pro/superfast-32tb-usb4-external-ssds-are-coming-thanks-to-a-new-chip-but-i-bet-they-wont-be-cheap
    🚀 ชิป SM2324 ของ Silicon Motion: ก้าวใหม่ของ SSD ความจุสูง Silicon Motion เปิดตัว SM2324 ซึ่งเป็น ชิปควบคุม SSD แบบ USB4 ที่สามารถรองรับความจุสูงสุด 32TB และมีความเร็วในการอ่านข้อมูลถึง 4,000MB/s SM2324 ถูกออกแบบมาเพื่อ ลดจำนวนชิ้นส่วนที่ใช้ในการผลิต SSD ทำให้ต้นทุนของผู้ผลิตลดลง และช่วยให้สามารถสร้าง SSD ที่มีขนาดกะทัดรัดขึ้น นอกจากนี้ ชิปนี้ยังรองรับ 3D TLC และ QLC NAND รวมถึง Power Delivery 3.1 ซึ่งช่วยให้สามารถจัดการพลังงานได้อย่างมีประสิทธิภาพ ✅ ข้อมูลจากข่าว - SM2324 เป็นชิปควบคุม SSD แบบ USB4 ที่รองรับความจุสูงสุด 32TB - มีความเร็วในการอ่านข้อมูลถึง 4,000MB/s และเขียนข้อมูลสูงสุด 3,809MB/s - รองรับ 3D TLC และ QLC NAND รวมถึง Power Delivery 3.1 - ใช้กระบวนการผลิต 12nm ของ TSMC เพื่อประหยัดพลังงาน - รองรับ Windows, macOS, Linux และ Apple ProRes workflows บน iPhone ‼️ คำเตือนที่ควรพิจารณา - แม้จะลดต้นทุนการผลิต แต่ SSD ที่ใช้ SM2324 อาจยังมีราคาสูงสำหรับผู้ใช้ทั่วไป - ต้องมีระบบระบายความร้อนที่ดีเพื่อให้ SSD ทำงานได้เต็มประสิทธิภาพ - ข้อจำกัดด้านพลังงานและการจัดการความร้อนอาจทำให้ SSD ขนาด 32TB ไม่เหมาะกับทุกการใช้งาน - ต้องติดตามว่าผู้ผลิต SSD รายใดจะนำ SM2324 ไปใช้ในผลิตภัณฑ์ของตน SM2324 อาจช่วยให้ตลาด SSD ความจุสูงแบบพกพา เติบโตขึ้น โดยเฉพาะสำหรับ ผู้ใช้ระดับมืออาชีพ เช่น นักสร้างภาพยนตร์และผู้ที่ต้องการสำรองข้อมูลขนาดใหญ่ อย่างไรก็ตาม ต้องติดตามว่าผู้ผลิตจะสามารถทำให้ SSD ที่ใช้ชิปนี้มีราคาที่เข้าถึงได้หรือไม่ 📢📢 ไม่ต้องพูดมาก ลุงสู้ทุกราคา !! 😅😅 https://www.techradar.com/pro/superfast-32tb-usb4-external-ssds-are-coming-thanks-to-a-new-chip-but-i-bet-they-wont-be-cheap
    0 ความคิดเห็น 0 การแบ่งปัน 218 มุมมอง 0 รีวิว
  • ⚖️ Intel ชนะคดีสำคัญกับ VLSI ในข้อพิพาทด้านสิทธิบัตร
    Intel ได้รับชัยชนะในคดีความกับ VLSI Technology LLC ซึ่งเป็นบริษัทที่ถูกกล่าวหาว่าเป็น "Patent Troll" หรือบริษัทที่ใช้สิทธิบัตรเพื่อเรียกร้องค่าชดเชยโดยไม่ได้มีการพัฒนาเทคโนโลยีจริง

    VLSI เป็นบริษัทที่อยู่ภายใต้การควบคุมของ Fortress Investment Group ซึ่งเคยฟ้องร้อง Intel ในข้อหาละเมิดสิทธิบัตรที่ได้มาจาก NXP Semiconductors NV โดยอ้างว่าเทคโนโลยีดังกล่าวเกี่ยวข้องกับกระบวนการออกแบบชิป

    ในปี 2022 Intel ถูกสั่งให้จ่ายค่าชดเชยเกือบ 1 พันล้านดอลลาร์ จากการละเมิดสิทธิบัตรของ VLSI อย่างไรก็ตาม Intel โต้แย้งว่าข้อตกลงด้านสิทธิบัตรที่ทำไว้กับ Finjan Inc. ซึ่งเป็นบริษัทที่อยู่ภายใต้การควบคุมของ Fortress เช่นเดียวกัน ครอบคลุมสิทธิบัตรที่เป็นข้อพิพาท

    ✅ ข้อมูลจากข่าว
    - Intel ชนะคดีความกับ VLSI Technology LLC ซึ่งอาจทำให้คำตัดสินก่อนหน้านี้ถูกยกเลิก
    - VLSI อยู่ภายใต้การควบคุมของ Fortress Investment Group ซึ่งเคยฟ้องร้อง Intel ในข้อหาละเมิดสิทธิบัตร
    - Intel ถูกสั่งให้จ่ายค่าชดเชยเกือบ 1 พันล้านดอลลาร์ในปี 2022 แต่โต้แย้งว่าข้อตกลงกับ Finjan Inc. ครอบคลุมสิทธิบัตรที่เป็นข้อพิพาท
    - คดีนี้อาจส่งผลให้คำตัดสินก่อนหน้านี้ที่มีมูลค่ารวมกว่า 3 พันล้านดอลลาร์ถูกยกเลิก
    - Fortress เคยใช้กลยุทธ์ฟ้องร้องบริษัทเทคโนโลยีหลายแห่ง เช่น Apple และ HTC

    🏛️ สิทธิบัตรและบทบาทของ Patent Troll
    Patent Troll คือบริษัทที่ไม่ได้พัฒนาเทคโนโลยีเอง แต่ซื้อสิทธิบัตรมาเพื่อฟ้องร้องบริษัทอื่น ๆ เพื่อเรียกร้องค่าชดเชย โดยมักใช้ช่องโหว่ทางกฎหมายเพื่อสร้างรายได้จากการฟ้องร้อง

    Fortress Investment Group ถูกวิจารณ์ว่าใช้กลยุทธ์นี้เพื่อเรียกร้องเงินจากบริษัทเทคโนโลยีรายใหญ่ เช่น Intel, Apple และ HTC อย่างไรก็ตาม คำตัดสินล่าสุดอาจทำให้กลยุทธ์นี้ต้องถูกปรับเปลี่ยน

    https://www.techspot.com/news/108123-intel-wins-crucial-verdict-legal-fight-against-patent.html
    ⚖️ Intel ชนะคดีสำคัญกับ VLSI ในข้อพิพาทด้านสิทธิบัตร Intel ได้รับชัยชนะในคดีความกับ VLSI Technology LLC ซึ่งเป็นบริษัทที่ถูกกล่าวหาว่าเป็น "Patent Troll" หรือบริษัทที่ใช้สิทธิบัตรเพื่อเรียกร้องค่าชดเชยโดยไม่ได้มีการพัฒนาเทคโนโลยีจริง VLSI เป็นบริษัทที่อยู่ภายใต้การควบคุมของ Fortress Investment Group ซึ่งเคยฟ้องร้อง Intel ในข้อหาละเมิดสิทธิบัตรที่ได้มาจาก NXP Semiconductors NV โดยอ้างว่าเทคโนโลยีดังกล่าวเกี่ยวข้องกับกระบวนการออกแบบชิป ในปี 2022 Intel ถูกสั่งให้จ่ายค่าชดเชยเกือบ 1 พันล้านดอลลาร์ จากการละเมิดสิทธิบัตรของ VLSI อย่างไรก็ตาม Intel โต้แย้งว่าข้อตกลงด้านสิทธิบัตรที่ทำไว้กับ Finjan Inc. ซึ่งเป็นบริษัทที่อยู่ภายใต้การควบคุมของ Fortress เช่นเดียวกัน ครอบคลุมสิทธิบัตรที่เป็นข้อพิพาท ✅ ข้อมูลจากข่าว - Intel ชนะคดีความกับ VLSI Technology LLC ซึ่งอาจทำให้คำตัดสินก่อนหน้านี้ถูกยกเลิก - VLSI อยู่ภายใต้การควบคุมของ Fortress Investment Group ซึ่งเคยฟ้องร้อง Intel ในข้อหาละเมิดสิทธิบัตร - Intel ถูกสั่งให้จ่ายค่าชดเชยเกือบ 1 พันล้านดอลลาร์ในปี 2022 แต่โต้แย้งว่าข้อตกลงกับ Finjan Inc. ครอบคลุมสิทธิบัตรที่เป็นข้อพิพาท - คดีนี้อาจส่งผลให้คำตัดสินก่อนหน้านี้ที่มีมูลค่ารวมกว่า 3 พันล้านดอลลาร์ถูกยกเลิก - Fortress เคยใช้กลยุทธ์ฟ้องร้องบริษัทเทคโนโลยีหลายแห่ง เช่น Apple และ HTC 🏛️ สิทธิบัตรและบทบาทของ Patent Troll Patent Troll คือบริษัทที่ไม่ได้พัฒนาเทคโนโลยีเอง แต่ซื้อสิทธิบัตรมาเพื่อฟ้องร้องบริษัทอื่น ๆ เพื่อเรียกร้องค่าชดเชย โดยมักใช้ช่องโหว่ทางกฎหมายเพื่อสร้างรายได้จากการฟ้องร้อง Fortress Investment Group ถูกวิจารณ์ว่าใช้กลยุทธ์นี้เพื่อเรียกร้องเงินจากบริษัทเทคโนโลยีรายใหญ่ เช่น Intel, Apple และ HTC อย่างไรก็ตาม คำตัดสินล่าสุดอาจทำให้กลยุทธ์นี้ต้องถูกปรับเปลี่ยน https://www.techspot.com/news/108123-intel-wins-crucial-verdict-legal-fight-against-patent.html
    WWW.TECHSPOT.COM
    Intel wins crucial verdict in legal fight against patent troll VLSI
    After a three-day jury trial, the U.S. District Court for the Western District of Texas ruled that VLSI Technology LLC and Finjan Inc. are both under the...
    0 ความคิดเห็น 0 การแบ่งปัน 221 มุมมอง 0 รีวิว
  • 🎮 GPU รุ่นใหม่ของจีน: ก้าวสำคัญสู่ความเป็นอิสระทางเทคโนโลยี
    Lisuan Technology บริษัทสตาร์ทอัพด้านกราฟิกการ์ดของจีน ประกาศว่า G100 GPU ซึ่งเป็น กราฟิกการ์ด 6nm ตัวแรกที่ผลิตในประเทศ ได้เปิดใช้งานสำเร็จ ถือเป็นก้าวสำคัญในการพัฒนาเทคโนโลยีภายในประเทศ

    Lisuan Technology ก่อตั้งขึ้นในปี 2021 โดยมีทีมงานที่มีประสบการณ์กว่า 25 ปีใน Silicon Valley และได้รับการสนับสนุนทางการเงินจาก Dongxin Semiconductor ซึ่งช่วยให้บริษัทสามารถพัฒนา G100 ต่อไปได้แม้จะเผชิญกับปัญหาทางการเงิน

    G100 ใช้ TrueGPU architecture ซึ่งเป็นสถาปัตยกรรมที่พัฒนาเอง แตกต่างจากบริษัทจีนอื่น ๆ ที่มักใช้ IP จาก Imagination Technologies นอกจากนี้ ยังมีข่าวลือว่า G100 อาจมีประสิทธิภาพใกล้เคียงกับ GeForce RTX 4060

    ✅ ข้อมูลจากข่าว
    - G100 เป็นกราฟิกการ์ด 6nm ตัวแรกที่ผลิตในจีน
    - Lisuan Technology ก่อตั้งขึ้นในปี 2021 โดยมีทีมงานที่มีประสบการณ์กว่า 25 ปีใน Silicon Valley
    - G100 ใช้ TrueGPU architecture ซึ่งพัฒนาเองโดยไม่ใช้ IP จากบริษัทอื่น
    - Dongxin Semiconductor สนับสนุนเงินทุน 27.7 ล้านเหรียญ เพื่อช่วยให้บริษัทดำเนินการต่อไป
    - G100 อาจมีประสิทธิภาพใกล้เคียงกับ GeForce RTX 4060

    ‼️ คำเตือนที่ควรพิจารณา
    - จีนไม่สามารถเข้าถึงเทคโนโลยี 6nm จาก Samsung หรือ TSMC เนื่องจากข้อจำกัดการส่งออกของสหรัฐฯ
    - G100 อาจผลิตโดย SMIC ซึ่งมีข้อจำกัดด้านเทคโนโลยี เมื่อเทียบกับโรงงานผลิตชิประดับโลก
    - ยังไม่มีข้อมูลทางเทคนิคที่ชัดเจนเกี่ยวกับประสิทธิภาพของ G100
    - การพัฒนาไดรเวอร์และซอฟต์แวร์เป็นปัจจัยสำคัญ ที่จะกำหนดความสามารถของ G100 ในตลาด

    🚀 ผลกระทบต่ออุตสาหกรรม GPU
    การเปิดตัว G100 แสดงให้เห็นถึงความพยายามของจีนในการลดการพึ่งพาเทคโนโลยีจากต่างประเทศ อย่างไรก็ตาม ความท้าทายด้านการผลิตและซอฟต์แวร์ ยังเป็นอุปสรรคสำคัญที่ต้องแก้ไขก่อนที่ G100 จะสามารถแข่งขันกับแบรนด์ระดับโลกได้

    https://www.techspot.com/news/108122-china-first-6nm-gpu-boots-up-targets-performance.html
    🎮 GPU รุ่นใหม่ของจีน: ก้าวสำคัญสู่ความเป็นอิสระทางเทคโนโลยี Lisuan Technology บริษัทสตาร์ทอัพด้านกราฟิกการ์ดของจีน ประกาศว่า G100 GPU ซึ่งเป็น กราฟิกการ์ด 6nm ตัวแรกที่ผลิตในประเทศ ได้เปิดใช้งานสำเร็จ ถือเป็นก้าวสำคัญในการพัฒนาเทคโนโลยีภายในประเทศ Lisuan Technology ก่อตั้งขึ้นในปี 2021 โดยมีทีมงานที่มีประสบการณ์กว่า 25 ปีใน Silicon Valley และได้รับการสนับสนุนทางการเงินจาก Dongxin Semiconductor ซึ่งช่วยให้บริษัทสามารถพัฒนา G100 ต่อไปได้แม้จะเผชิญกับปัญหาทางการเงิน G100 ใช้ TrueGPU architecture ซึ่งเป็นสถาปัตยกรรมที่พัฒนาเอง แตกต่างจากบริษัทจีนอื่น ๆ ที่มักใช้ IP จาก Imagination Technologies นอกจากนี้ ยังมีข่าวลือว่า G100 อาจมีประสิทธิภาพใกล้เคียงกับ GeForce RTX 4060 ✅ ข้อมูลจากข่าว - G100 เป็นกราฟิกการ์ด 6nm ตัวแรกที่ผลิตในจีน - Lisuan Technology ก่อตั้งขึ้นในปี 2021 โดยมีทีมงานที่มีประสบการณ์กว่า 25 ปีใน Silicon Valley - G100 ใช้ TrueGPU architecture ซึ่งพัฒนาเองโดยไม่ใช้ IP จากบริษัทอื่น - Dongxin Semiconductor สนับสนุนเงินทุน 27.7 ล้านเหรียญ เพื่อช่วยให้บริษัทดำเนินการต่อไป - G100 อาจมีประสิทธิภาพใกล้เคียงกับ GeForce RTX 4060 ‼️ คำเตือนที่ควรพิจารณา - จีนไม่สามารถเข้าถึงเทคโนโลยี 6nm จาก Samsung หรือ TSMC เนื่องจากข้อจำกัดการส่งออกของสหรัฐฯ - G100 อาจผลิตโดย SMIC ซึ่งมีข้อจำกัดด้านเทคโนโลยี เมื่อเทียบกับโรงงานผลิตชิประดับโลก - ยังไม่มีข้อมูลทางเทคนิคที่ชัดเจนเกี่ยวกับประสิทธิภาพของ G100 - การพัฒนาไดรเวอร์และซอฟต์แวร์เป็นปัจจัยสำคัญ ที่จะกำหนดความสามารถของ G100 ในตลาด 🚀 ผลกระทบต่ออุตสาหกรรม GPU การเปิดตัว G100 แสดงให้เห็นถึงความพยายามของจีนในการลดการพึ่งพาเทคโนโลยีจากต่างประเทศ อย่างไรก็ตาม ความท้าทายด้านการผลิตและซอฟต์แวร์ ยังเป็นอุปสรรคสำคัญที่ต้องแก้ไขก่อนที่ G100 จะสามารถแข่งขันกับแบรนด์ระดับโลกได้ https://www.techspot.com/news/108122-china-first-6nm-gpu-boots-up-targets-performance.html
    WWW.TECHSPOT.COM
    China's first 6nm GPU boots up, targets performance parity with RTX 4060
    Lisuan was founded in 2021 by a crew of ex-Silicon Valley GPU veterans and is a part of the new wave of Chinese chipmakers like Moore Threads...
    0 ความคิดเห็น 0 การแบ่งปัน 236 มุมมอง 0 รีวิว
  • 🤖 นักวิทยาศาสตร์ AI ที่ตั้งคำถามต่ออนาคตของ Generative AI
    Gary Marcus นักวิทยาศาสตร์และนักเขียนชื่อดัง ยังคงเป็นหนึ่งในผู้ที่ตั้งข้อสงสัยเกี่ยวกับ Generative AI โดยเขาเชื่อว่า เทคโนโลยีนี้ยังมีข้อบกพร่องมากเกินไป และอาจไม่สามารถเปลี่ยนแปลงโลกได้อย่างที่ Silicon Valley คาดหวัง

    Marcus เคยเป็นหนึ่งในผู้ที่เรียกร้องให้มีการกำกับดูแล AI ร่วมกับ Sam Altman CEO ของ OpenAI ในปี 2023 อย่างไรก็ตาม Altman ได้เปลี่ยนท่าทีและหันไปหานักลงทุนจาก SoftBank และตะวันออกกลาง เพื่อผลักดัน ChatGPT ให้กลายเป็นเทคโนโลยีที่มีมูลค่ามหาศาล

    Marcus เชื่อว่า Large Language Models (LLMs) มีข้อจำกัดที่แก้ไขไม่ได้ และอาจไม่ใช่แนวทางที่ดีที่สุดในการพัฒนา AI ที่มีความสามารถระดับมนุษย์

    ✅ ข้อมูลจากข่าว
    - Gary Marcus ยังคงตั้งคำถามต่อ Generative AI และเชื่อว่าเทคโนโลยีนี้ยังมีข้อบกพร่องมากเกินไป
    - Sam Altman เปลี่ยนท่าทีจากการเรียกร้องให้กำกับดูแล AI ไปสู่การหานักลงทุนรายใหม่
    - LLMs อาจไม่ใช่แนวทางที่ดีที่สุดในการพัฒนา AI ที่มีความสามารถระดับมนุษย์
    - Marcus สนับสนุนแนวทาง Neurosymbolic AI ซึ่งเน้นการสร้างตรรกะของมนุษย์ขึ้นมาใหม่แทนการใช้ข้อมูลขนาดใหญ่
    - เขาเชื่อว่า Generative AI จะมีบทบาทในงานที่ไม่ต้องการความแม่นยำสูง เช่น การช่วยเขียนโค้ดและการระดมไอเดีย

    ‼️ คำเตือนที่ควรพิจารณา
    - LLMs มีปัญหาเรื่อง "hallucination" หรือการสร้างข้อมูลผิดพลาด ซึ่งอาจเป็นอุปสรรคต่อการใช้งานในระดับองค์กร
    - นักลงทุนอาจเริ่มตั้งคำถามเกี่ยวกับความสามารถที่แท้จริงของ Generative AI
    - บริษัท AI อาจหันไปใช้ข้อมูลผู้ใช้เพื่อสร้างรายได้แทน หากเทคโนโลยีไม่สามารถทำกำไรได้ตามที่คาดหวัง
    - ต้องจับตาดูว่า Neurosymbolic AI จะสามารถแข่งขันกับ Generative AI ได้หรือไม่

    Generative AI กำลังเผชิญกับคำถามสำคัญเกี่ยวกับ ความแม่นยำและความสามารถในการเปลี่ยนแปลงโลก แม้ว่าจะมีการลงทุนมหาศาล แต่ข้อบกพร่องของเทคโนโลยีอาจทำให้ต้องมีการปรับแนวทางใหม่

    https://www.thestar.com.my/tech/tech-news/2025/05/30/generative-ai039s-most-prominent-sceptic-doubles-down
    🤖 นักวิทยาศาสตร์ AI ที่ตั้งคำถามต่ออนาคตของ Generative AI Gary Marcus นักวิทยาศาสตร์และนักเขียนชื่อดัง ยังคงเป็นหนึ่งในผู้ที่ตั้งข้อสงสัยเกี่ยวกับ Generative AI โดยเขาเชื่อว่า เทคโนโลยีนี้ยังมีข้อบกพร่องมากเกินไป และอาจไม่สามารถเปลี่ยนแปลงโลกได้อย่างที่ Silicon Valley คาดหวัง Marcus เคยเป็นหนึ่งในผู้ที่เรียกร้องให้มีการกำกับดูแล AI ร่วมกับ Sam Altman CEO ของ OpenAI ในปี 2023 อย่างไรก็ตาม Altman ได้เปลี่ยนท่าทีและหันไปหานักลงทุนจาก SoftBank และตะวันออกกลาง เพื่อผลักดัน ChatGPT ให้กลายเป็นเทคโนโลยีที่มีมูลค่ามหาศาล Marcus เชื่อว่า Large Language Models (LLMs) มีข้อจำกัดที่แก้ไขไม่ได้ และอาจไม่ใช่แนวทางที่ดีที่สุดในการพัฒนา AI ที่มีความสามารถระดับมนุษย์ ✅ ข้อมูลจากข่าว - Gary Marcus ยังคงตั้งคำถามต่อ Generative AI และเชื่อว่าเทคโนโลยีนี้ยังมีข้อบกพร่องมากเกินไป - Sam Altman เปลี่ยนท่าทีจากการเรียกร้องให้กำกับดูแล AI ไปสู่การหานักลงทุนรายใหม่ - LLMs อาจไม่ใช่แนวทางที่ดีที่สุดในการพัฒนา AI ที่มีความสามารถระดับมนุษย์ - Marcus สนับสนุนแนวทาง Neurosymbolic AI ซึ่งเน้นการสร้างตรรกะของมนุษย์ขึ้นมาใหม่แทนการใช้ข้อมูลขนาดใหญ่ - เขาเชื่อว่า Generative AI จะมีบทบาทในงานที่ไม่ต้องการความแม่นยำสูง เช่น การช่วยเขียนโค้ดและการระดมไอเดีย ‼️ คำเตือนที่ควรพิจารณา - LLMs มีปัญหาเรื่อง "hallucination" หรือการสร้างข้อมูลผิดพลาด ซึ่งอาจเป็นอุปสรรคต่อการใช้งานในระดับองค์กร - นักลงทุนอาจเริ่มตั้งคำถามเกี่ยวกับความสามารถที่แท้จริงของ Generative AI - บริษัท AI อาจหันไปใช้ข้อมูลผู้ใช้เพื่อสร้างรายได้แทน หากเทคโนโลยีไม่สามารถทำกำไรได้ตามที่คาดหวัง - ต้องจับตาดูว่า Neurosymbolic AI จะสามารถแข่งขันกับ Generative AI ได้หรือไม่ Generative AI กำลังเผชิญกับคำถามสำคัญเกี่ยวกับ ความแม่นยำและความสามารถในการเปลี่ยนแปลงโลก แม้ว่าจะมีการลงทุนมหาศาล แต่ข้อบกพร่องของเทคโนโลยีอาจทำให้ต้องมีการปรับแนวทางใหม่ https://www.thestar.com.my/tech/tech-news/2025/05/30/generative-ai039s-most-prominent-sceptic-doubles-down
    WWW.THESTAR.COM.MY
    Generative AI's most prominent sceptic doubles down
    Two and a half years since ChatGPT rocked the world, scientist and writer Gary Marcus still remains generative artificial intelligence's great sceptic, playing a counter-narrative to Silicon Valley's AI true believers.
    0 ความคิดเห็น 0 การแบ่งปัน 208 มุมมอง 0 รีวิว
  • 🎮 GPU รุ่นใหม่ของจีน: ก้าวสำคัญสู่ความเป็นอิสระทางเทคโนโลยี
    Lisuan Technology บริษัทสตาร์ทอัพด้านกราฟิกการ์ดของจีน ประกาศว่า G100 GPU ซึ่งเป็น กราฟิกการ์ด 6nm ตัวแรกที่ผลิตในประเทศ ได้เปิดใช้งานสำเร็จ ถือเป็นก้าวสำคัญในการพัฒนาเทคโนโลยีภายในประเทศ

    🔍 เรื่องน่าสนใจที่เสริมเพิ่มเติม
    Lisuan Technology ก่อตั้งขึ้นในปี 2021 โดยมีทีมงานที่มีประสบการณ์กว่า 25 ปีใน Silicon Valley และได้รับการสนับสนุนทางการเงินจาก Dongxin Semiconductor ซึ่งช่วยให้บริษัทสามารถพัฒนา G100 ต่อไปได้แม้จะเผชิญกับปัญหาทางการเงิน

    G100 ใช้ TrueGPU architecture ซึ่งเป็นสถาปัตยกรรมที่พัฒนาเอง แตกต่างจากบริษัทจีนอื่น ๆ ที่มักใช้ IP จาก Imagination Technologies นอกจากนี้ ยังมีข่าวลือว่า G100 อาจมีประสิทธิภาพใกล้เคียงกับ GeForce RTX 4060

    📌 สรุปข้อมูลหลักและคำเตือน
    ✅ ข้อมูลจากข่าว
    - G100 เป็นกราฟิกการ์ด 6nm ตัวแรกที่ผลิตในจีน
    - Lisuan Technology ก่อตั้งขึ้นในปี 2021 โดยมีทีมงานที่มีประสบการณ์กว่า 25 ปีใน Silicon Valley
    - G100 ใช้ TrueGPU architecture ซึ่งพัฒนาเองโดยไม่ใช้ IP จากบริษัทอื่น
    - Dongxin Semiconductor สนับสนุนเงินทุน 27.7 ล้านเหรียญ เพื่อช่วยให้บริษัทดำเนินการต่อไป
    - G100 อาจมีประสิทธิภาพใกล้เคียงกับ GeForce RTX 4060

    ‼️ คำเตือนที่ควรพิจารณา
    - จีนไม่สามารถเข้าถึงเทคโนโลยี 6nm จาก Samsung หรือ TSMC เนื่องจากข้อจำกัดการส่งออกของสหรัฐฯ
    - G100 อาจผลิตโดย SMIC ซึ่งมีข้อจำกัดด้านเทคโนโลยี เมื่อเทียบกับโรงงานผลิตชิประดับโลก
    - ยังไม่มีข้อมูลทางเทคนิคที่ชัดเจนเกี่ยวกับประสิทธิภาพของ G100
    - การพัฒนาไดรเวอร์และซอฟต์แวร์เป็นปัจจัยสำคัญ ที่จะกำหนดความสามารถของ G100 ในตลาด

    🚀 ผลกระทบต่ออุตสาหกรรม GPU
    การเปิดตัว G100 แสดงให้เห็นถึงความพยายามของจีนในการลดการพึ่งพาเทคโนโลยีจากต่างประเทศ อย่างไรก็ตาม ความท้าทายด้านการผลิตและซอฟต์แวร์ ยังเป็นอุปสรรคสำคัญที่ต้องแก้ไขก่อนที่ G100 จะสามารถแข่งขันกับแบรนด์ระดับโลกได้

    จีนกำลังเดินหน้าสู่ความเป็นอิสระทางเทคโนโลยี แต่ต้องจับตาดูว่า G100 จะสามารถสร้างมาตรฐานใหม่ให้กับอุตสาหกรรม GPU ได้หรือไม่

    https://www.tomshardware.com/pc-components/gpus/chinas-first-6nm-domestic-gpu-with-purported-rtx-4060-like-performance-has-powered-on
    🎮 GPU รุ่นใหม่ของจีน: ก้าวสำคัญสู่ความเป็นอิสระทางเทคโนโลยี Lisuan Technology บริษัทสตาร์ทอัพด้านกราฟิกการ์ดของจีน ประกาศว่า G100 GPU ซึ่งเป็น กราฟิกการ์ด 6nm ตัวแรกที่ผลิตในประเทศ ได้เปิดใช้งานสำเร็จ ถือเป็นก้าวสำคัญในการพัฒนาเทคโนโลยีภายในประเทศ 🔍 เรื่องน่าสนใจที่เสริมเพิ่มเติม Lisuan Technology ก่อตั้งขึ้นในปี 2021 โดยมีทีมงานที่มีประสบการณ์กว่า 25 ปีใน Silicon Valley และได้รับการสนับสนุนทางการเงินจาก Dongxin Semiconductor ซึ่งช่วยให้บริษัทสามารถพัฒนา G100 ต่อไปได้แม้จะเผชิญกับปัญหาทางการเงิน G100 ใช้ TrueGPU architecture ซึ่งเป็นสถาปัตยกรรมที่พัฒนาเอง แตกต่างจากบริษัทจีนอื่น ๆ ที่มักใช้ IP จาก Imagination Technologies นอกจากนี้ ยังมีข่าวลือว่า G100 อาจมีประสิทธิภาพใกล้เคียงกับ GeForce RTX 4060 📌 สรุปข้อมูลหลักและคำเตือน ✅ ข้อมูลจากข่าว - G100 เป็นกราฟิกการ์ด 6nm ตัวแรกที่ผลิตในจีน - Lisuan Technology ก่อตั้งขึ้นในปี 2021 โดยมีทีมงานที่มีประสบการณ์กว่า 25 ปีใน Silicon Valley - G100 ใช้ TrueGPU architecture ซึ่งพัฒนาเองโดยไม่ใช้ IP จากบริษัทอื่น - Dongxin Semiconductor สนับสนุนเงินทุน 27.7 ล้านเหรียญ เพื่อช่วยให้บริษัทดำเนินการต่อไป - G100 อาจมีประสิทธิภาพใกล้เคียงกับ GeForce RTX 4060 ‼️ คำเตือนที่ควรพิจารณา - จีนไม่สามารถเข้าถึงเทคโนโลยี 6nm จาก Samsung หรือ TSMC เนื่องจากข้อจำกัดการส่งออกของสหรัฐฯ - G100 อาจผลิตโดย SMIC ซึ่งมีข้อจำกัดด้านเทคโนโลยี เมื่อเทียบกับโรงงานผลิตชิประดับโลก - ยังไม่มีข้อมูลทางเทคนิคที่ชัดเจนเกี่ยวกับประสิทธิภาพของ G100 - การพัฒนาไดรเวอร์และซอฟต์แวร์เป็นปัจจัยสำคัญ ที่จะกำหนดความสามารถของ G100 ในตลาด 🚀 ผลกระทบต่ออุตสาหกรรม GPU การเปิดตัว G100 แสดงให้เห็นถึงความพยายามของจีนในการลดการพึ่งพาเทคโนโลยีจากต่างประเทศ อย่างไรก็ตาม ความท้าทายด้านการผลิตและซอฟต์แวร์ ยังเป็นอุปสรรคสำคัญที่ต้องแก้ไขก่อนที่ G100 จะสามารถแข่งขันกับแบรนด์ระดับโลกได้ จีนกำลังเดินหน้าสู่ความเป็นอิสระทางเทคโนโลยี แต่ต้องจับตาดูว่า G100 จะสามารถสร้างมาตรฐานใหม่ให้กับอุตสาหกรรม GPU ได้หรือไม่ https://www.tomshardware.com/pc-components/gpus/chinas-first-6nm-domestic-gpu-with-purported-rtx-4060-like-performance-has-powered-on
    0 ความคิดเห็น 0 การแบ่งปัน 292 มุมมอง 0 รีวิว
  • 🚨 สหรัฐฯ จำกัดการส่งออกซอฟต์แวร์ออกแบบชิปให้จีน
    รัฐบาลสหรัฐฯ ภายใต้การนำของ โดนัลด์ ทรัมป์ ได้ออกคำสั่งให้บริษัทผู้ผลิต Electronic Design Automation (EDA) software เช่น Synopsys, Cadence Design Systems และ Siemens EDA หยุดขายเทคโนโลยีให้กับจีน ซึ่งเป็นส่วนหนึ่งของมาตรการควบคุมการส่งออกที่เข้มงวดขึ้น

    EDA software เป็นเครื่องมือสำคัญในการออกแบบและจำลองชิปเซมิคอนดักเตอร์ ซึ่งเป็นหัวใจของเทคโนโลยี AI และการประมวลผลขั้นสูง การจำกัดการเข้าถึงซอฟต์แวร์นี้อาจส่งผลกระทบต่อความสามารถของจีนในการพัฒนา ชิปยุคใหม่

    ก่อนหน้านี้ สหรัฐฯ ได้สั่งห้าม Nvidia ขายชิป H20 ให้กับจีน ซึ่งเป็นมาตรการที่ต่อเนื่องจากการควบคุมการส่งออกชิป AI ตั้งแต่ปี 2022

    ✅ ข้อมูลจากข่าว
    - สหรัฐฯ สั่งให้ Synopsys, Cadence และ Siemens EDA หยุดขายซอฟต์แวร์ออกแบบชิปให้จีน
    - EDA software มีความสำคัญต่อการพัฒนา AI และเทคโนโลยีขั้นสูง
    - ก่อนหน้านี้ สหรัฐฯ ห้าม Nvidia ขายชิป H20 ให้จีน
    - จีนคิดเป็น 16% ของรายได้ Synopsys และ 12% ของรายได้ Cadence
    - หุ้นของ Synopsys และ Cadence ร่วงลงกว่า 9% หลังข่าวนี้เผยแพร่

    ‼️ คำเตือนที่ควรพิจารณา
    - การจำกัดการส่งออกอาจกระตุ้นให้จีนเร่งพัฒนาเทคโนโลยีของตนเอง
    - บริษัทสหรัฐฯ อาจสูญเสียรายได้จากตลาดจีน ซึ่งเป็นตลาดเซมิคอนดักเตอร์ที่ใหญ่ที่สุดในโลก
    - การเจรจาการค้าระหว่างสหรัฐฯ และจีนยังคงเปราะบาง แม้ว่าทั้งสองฝ่ายจะตกลงหยุดการขึ้นภาษีใหม่เป็นเวลา 90 วัน
    - บริษัทจีน เช่น Empyrean Technology และ Primarius กำลังได้รับแรงหนุนจากมาตรการนี้

    🌍 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์
    มาตรการของสหรัฐฯ อาจส่งผลให้จีนเร่งพัฒนา EDA software ของตนเอง และลดการพึ่งพาเทคโนโลยีจากตะวันตก ซึ่งอาจเปลี่ยนแปลงโครงสร้างอุตสาหกรรมเซมิคอนดักเตอร์ในระยะยาว

    https://www.techspot.com/news/108102-trump-blocks-china-key-semiconductor-design-software.html
    🚨 สหรัฐฯ จำกัดการส่งออกซอฟต์แวร์ออกแบบชิปให้จีน รัฐบาลสหรัฐฯ ภายใต้การนำของ โดนัลด์ ทรัมป์ ได้ออกคำสั่งให้บริษัทผู้ผลิต Electronic Design Automation (EDA) software เช่น Synopsys, Cadence Design Systems และ Siemens EDA หยุดขายเทคโนโลยีให้กับจีน ซึ่งเป็นส่วนหนึ่งของมาตรการควบคุมการส่งออกที่เข้มงวดขึ้น EDA software เป็นเครื่องมือสำคัญในการออกแบบและจำลองชิปเซมิคอนดักเตอร์ ซึ่งเป็นหัวใจของเทคโนโลยี AI และการประมวลผลขั้นสูง การจำกัดการเข้าถึงซอฟต์แวร์นี้อาจส่งผลกระทบต่อความสามารถของจีนในการพัฒนา ชิปยุคใหม่ ก่อนหน้านี้ สหรัฐฯ ได้สั่งห้าม Nvidia ขายชิป H20 ให้กับจีน ซึ่งเป็นมาตรการที่ต่อเนื่องจากการควบคุมการส่งออกชิป AI ตั้งแต่ปี 2022 ✅ ข้อมูลจากข่าว - สหรัฐฯ สั่งให้ Synopsys, Cadence และ Siemens EDA หยุดขายซอฟต์แวร์ออกแบบชิปให้จีน - EDA software มีความสำคัญต่อการพัฒนา AI และเทคโนโลยีขั้นสูง - ก่อนหน้านี้ สหรัฐฯ ห้าม Nvidia ขายชิป H20 ให้จีน - จีนคิดเป็น 16% ของรายได้ Synopsys และ 12% ของรายได้ Cadence - หุ้นของ Synopsys และ Cadence ร่วงลงกว่า 9% หลังข่าวนี้เผยแพร่ ‼️ คำเตือนที่ควรพิจารณา - การจำกัดการส่งออกอาจกระตุ้นให้จีนเร่งพัฒนาเทคโนโลยีของตนเอง - บริษัทสหรัฐฯ อาจสูญเสียรายได้จากตลาดจีน ซึ่งเป็นตลาดเซมิคอนดักเตอร์ที่ใหญ่ที่สุดในโลก - การเจรจาการค้าระหว่างสหรัฐฯ และจีนยังคงเปราะบาง แม้ว่าทั้งสองฝ่ายจะตกลงหยุดการขึ้นภาษีใหม่เป็นเวลา 90 วัน - บริษัทจีน เช่น Empyrean Technology และ Primarius กำลังได้รับแรงหนุนจากมาตรการนี้ 🌍 ผลกระทบต่ออุตสาหกรรมเซมิคอนดักเตอร์ มาตรการของสหรัฐฯ อาจส่งผลให้จีนเร่งพัฒนา EDA software ของตนเอง และลดการพึ่งพาเทคโนโลยีจากตะวันตก ซึ่งอาจเปลี่ยนแปลงโครงสร้างอุตสาหกรรมเซมิคอนดักเตอร์ในระยะยาว https://www.techspot.com/news/108102-trump-blocks-china-key-semiconductor-design-software.html
    WWW.TECHSPOT.COM
    Trump blocks China from key semiconductor design software
    These companies collectively control about 80 percent of China's EDA market, making them a critical part of the global semiconductor supply chain. EDA software, though a relatively...
    0 ความคิดเห็น 0 การแบ่งปัน 242 มุมมอง 0 รีวิว
  • TSMC ยืนยันว่า ไม่จำเป็นต้องใช้เทคโนโลยี High-NA EUV สำหรับกระบวนการผลิตชิป 1.4nm-class โดยบริษัทสามารถพัฒนาเทคโนโลยีที่มีประสิทธิภาพสูงขึ้นโดยไม่ต้องพึ่งพาเครื่องมือลิเธียกราฟีรุ่นใหม่

    High-NA EUV เป็นเทคโนโลยีที่ช่วยให้การผลิตชิปมีความแม่นยำมากขึ้น โดย Intel เป็นบริษัทที่ผลักดันการใช้เทคโนโลยีนี้เพื่อช่วยลดจำนวนขั้นตอนการผลิต อย่างไรก็ตาม TSMC เชื่อว่าการพัฒนาโครงสร้างทรานซิสเตอร์แบบ nanosheet gate-all-around และ standard cell architecture สามารถเพิ่มประสิทธิภาพได้โดยไม่ต้องใช้ High-NA EUV

    ✅ ข้อมูลจากข่าว
    - TSMC ไม่ใช้ High-NA EUV สำหรับกระบวนการผลิต A16 (1.6nm-class) และ A14 (1.4nm-class)
    - A14 ให้ประสิทธิภาพสูงขึ้น 15% และลดการใช้พลังงานลง 25-30% เมื่อเทียบกับ N2
    - A14 เพิ่มความหนาแน่นของทรานซิสเตอร์ขึ้น 20-23%
    - A14 จะเริ่มผลิตในปี 2028 และจะมีรุ่นปรับปรุงในปี 2029
    - TSMC อาจใช้ High-NA EUV ในอนาคต แต่ยังไม่เห็นประโยชน์ที่ชัดเจนในตอนนี้

    ‼️ คำเตือนที่ควรพิจารณา
    - Intel กำลังใช้ High-NA EUV ในกระบวนการผลิต 14A ซึ่งอาจทำให้บริษัทมีข้อได้เปรียบด้านเทคโนโลยี
    - TSMC ต้องพัฒนาเทคนิคใหม่ เพื่อให้สามารถผลิตชิป 1.4nm-class ได้โดยไม่ต้องใช้ High-NA EUV
    - การใช้เทคโนโลยีที่แตกต่างกัน อาจส่งผลต่อการแข่งขันระหว่าง Intel และ TSMC ในอนาคต
    - ตลาดเซมิคอนดักเตอร์มีการแข่งขันสูง และต้องจับตาดูว่า TSMC จะสามารถรักษาความเป็นผู้นำได้หรือไม่

    TSMC ยังคงเดินหน้าพัฒนาเทคโนโลยีการผลิตชิปโดยไม่ต้องใช้ High-NA EUV ซึ่งเป็นแนวทางที่แตกต่างจาก Intel อย่างไรก็ตาม การแข่งขันในตลาดเซมิคอนดักเตอร์ยังคงเข้มข้น และต้องติดตามว่ากลยุทธ์นี้จะช่วยให้ TSMC รักษาความเป็นผู้นำได้หรือไม่

    https://www.tomshardware.com/tech-industry/semiconductors/tsmc-reiterates-it-doesnt-need-high-na-euv-for-1-4nm-class-process-technology
    TSMC ยืนยันว่า ไม่จำเป็นต้องใช้เทคโนโลยี High-NA EUV สำหรับกระบวนการผลิตชิป 1.4nm-class โดยบริษัทสามารถพัฒนาเทคโนโลยีที่มีประสิทธิภาพสูงขึ้นโดยไม่ต้องพึ่งพาเครื่องมือลิเธียกราฟีรุ่นใหม่ High-NA EUV เป็นเทคโนโลยีที่ช่วยให้การผลิตชิปมีความแม่นยำมากขึ้น โดย Intel เป็นบริษัทที่ผลักดันการใช้เทคโนโลยีนี้เพื่อช่วยลดจำนวนขั้นตอนการผลิต อย่างไรก็ตาม TSMC เชื่อว่าการพัฒนาโครงสร้างทรานซิสเตอร์แบบ nanosheet gate-all-around และ standard cell architecture สามารถเพิ่มประสิทธิภาพได้โดยไม่ต้องใช้ High-NA EUV ✅ ข้อมูลจากข่าว - TSMC ไม่ใช้ High-NA EUV สำหรับกระบวนการผลิต A16 (1.6nm-class) และ A14 (1.4nm-class) - A14 ให้ประสิทธิภาพสูงขึ้น 15% และลดการใช้พลังงานลง 25-30% เมื่อเทียบกับ N2 - A14 เพิ่มความหนาแน่นของทรานซิสเตอร์ขึ้น 20-23% - A14 จะเริ่มผลิตในปี 2028 และจะมีรุ่นปรับปรุงในปี 2029 - TSMC อาจใช้ High-NA EUV ในอนาคต แต่ยังไม่เห็นประโยชน์ที่ชัดเจนในตอนนี้ ‼️ คำเตือนที่ควรพิจารณา - Intel กำลังใช้ High-NA EUV ในกระบวนการผลิต 14A ซึ่งอาจทำให้บริษัทมีข้อได้เปรียบด้านเทคโนโลยี - TSMC ต้องพัฒนาเทคนิคใหม่ เพื่อให้สามารถผลิตชิป 1.4nm-class ได้โดยไม่ต้องใช้ High-NA EUV - การใช้เทคโนโลยีที่แตกต่างกัน อาจส่งผลต่อการแข่งขันระหว่าง Intel และ TSMC ในอนาคต - ตลาดเซมิคอนดักเตอร์มีการแข่งขันสูง และต้องจับตาดูว่า TSMC จะสามารถรักษาความเป็นผู้นำได้หรือไม่ TSMC ยังคงเดินหน้าพัฒนาเทคโนโลยีการผลิตชิปโดยไม่ต้องใช้ High-NA EUV ซึ่งเป็นแนวทางที่แตกต่างจาก Intel อย่างไรก็ตาม การแข่งขันในตลาดเซมิคอนดักเตอร์ยังคงเข้มข้น และต้องติดตามว่ากลยุทธ์นี้จะช่วยให้ TSMC รักษาความเป็นผู้นำได้หรือไม่ https://www.tomshardware.com/tech-industry/semiconductors/tsmc-reiterates-it-doesnt-need-high-na-euv-for-1-4nm-class-process-technology
    0 ความคิดเห็น 0 การแบ่งปัน 209 มุมมอง 0 รีวิว
  • TSMC กำลังเปิด ศูนย์ออกแบบชิปแห่งแรกในยุโรป ที่เมืองมิวนิก ประเทศเยอรมนี เพื่อช่วยนักพัฒนาชิปในยุโรปปรับแต่งการออกแบบให้เหมาะสมกับเทคโนโลยีการผลิตของบริษัท โดยศูนย์นี้จะให้บริการตั้งแต่การช่วยพัฒนา ไมโครคอนโทรลเลอร์ (MCU) สำหรับอุตสาหกรรมยานยนต์ ไปจนถึงการปรับแต่งเทคโนโลยีการออกแบบ (DTCO) สำหรับ โปรเซสเซอร์ขั้นสูงที่ใช้ใน AI และ HPC

    TSMC มีศูนย์ออกแบบชิป 9 แห่งทั่วโลก ในแคนาดา จีน ญี่ปุ่น ไต้หวัน และสหรัฐฯ โดยศูนย์ในมิวนิกจะเป็นแห่งที่ 10 และเป็นแห่งแรกในยุโรป ซึ่งสะท้อนถึงการฟื้นตัวของอุตสาหกรรมเซมิคอนดักเตอร์ในยุโรป

    นอกจากนี้ TSMC ยังร่วมมือกับ Bosch, Infineon และ NXP ในการสร้างโรงงานผลิตชิปแห่งแรกในยุโรป ซึ่งจะสามารถผลิตชิปที่ใช้กระบวนการ 12nm และ 16nm โดยเน้นไปที่ MCU แต่ก็สามารถผลิตชิปประเภทอื่นได้

    ✅ ข้อมูลจากข่าว
    - TSMC เปิดศูนย์ออกแบบชิปแห่งแรกในยุโรป ที่เมืองมิวนิก เยอรมนี
    - ศูนย์นี้จะช่วยนักพัฒนาชิปปรับแต่งการออกแบบให้เหมาะกับเทคโนโลยีของ TSMC
    - ให้บริการตั้งแต่ MCU สำหรับอุตสาหกรรมยานยนต์ ไปจนถึง โปรเซสเซอร์ AI และ HPC
    - TSMC มีศูนย์ออกแบบชิป 9 แห่งทั่วโลก และศูนย์ในมิวนิกเป็นแห่งที่ 10
    - TSMC ร่วมมือกับ Bosch, Infineon และ NXP สร้างโรงงานผลิตชิปแห่งแรกในยุโรป

    ‼️ คำเตือนที่ควรพิจารณา
    - การออกแบบชิปต้องมีการปรับแต่งอย่างต่อเนื่อง เพื่อให้ได้ประสิทธิภาพสูงสุด
    - การผลิตชิปต้องใช้เทคโนโลยีที่ซับซ้อน และต้องทำงานร่วมกับลูกค้าอย่างใกล้ชิด
    - ตลาดเซมิคอนดักเตอร์ในยุโรปยังอยู่ในช่วงฟื้นตัว และต้องแข่งขันกับสหรัฐฯ และเอเชีย
    - TSMC ไม่ได้ออกแบบชิปเอง แต่ให้บริการปรับแต่งการออกแบบให้เหมาะกับการผลิต

    การเปิดศูนย์ออกแบบชิปในยุโรปของ TSMC เป็นก้าวสำคัญที่ช่วยให้นักพัฒนาชิปในยุโรปสามารถเข้าถึงเทคโนโลยีการผลิตระดับสูงได้ง่ายขึ้น อย่างไรก็ตาม การแข่งขันในอุตสาหกรรมเซมิคอนดักเตอร์ยังคงเข้มข้น และต้องจับตาดูว่าการลงทุนนี้จะช่วยให้ยุโรปสามารถแข่งขันกับตลาดอื่น ๆ ได้มากน้อยเพียงใด

    https://www.tomshardware.com/tech-industry/semiconductors/tsmc-to-open-up-chip-design-center-in-munich-to-help-local-chip-developers
    TSMC กำลังเปิด ศูนย์ออกแบบชิปแห่งแรกในยุโรป ที่เมืองมิวนิก ประเทศเยอรมนี เพื่อช่วยนักพัฒนาชิปในยุโรปปรับแต่งการออกแบบให้เหมาะสมกับเทคโนโลยีการผลิตของบริษัท โดยศูนย์นี้จะให้บริการตั้งแต่การช่วยพัฒนา ไมโครคอนโทรลเลอร์ (MCU) สำหรับอุตสาหกรรมยานยนต์ ไปจนถึงการปรับแต่งเทคโนโลยีการออกแบบ (DTCO) สำหรับ โปรเซสเซอร์ขั้นสูงที่ใช้ใน AI และ HPC TSMC มีศูนย์ออกแบบชิป 9 แห่งทั่วโลก ในแคนาดา จีน ญี่ปุ่น ไต้หวัน และสหรัฐฯ โดยศูนย์ในมิวนิกจะเป็นแห่งที่ 10 และเป็นแห่งแรกในยุโรป ซึ่งสะท้อนถึงการฟื้นตัวของอุตสาหกรรมเซมิคอนดักเตอร์ในยุโรป นอกจากนี้ TSMC ยังร่วมมือกับ Bosch, Infineon และ NXP ในการสร้างโรงงานผลิตชิปแห่งแรกในยุโรป ซึ่งจะสามารถผลิตชิปที่ใช้กระบวนการ 12nm และ 16nm โดยเน้นไปที่ MCU แต่ก็สามารถผลิตชิปประเภทอื่นได้ ✅ ข้อมูลจากข่าว - TSMC เปิดศูนย์ออกแบบชิปแห่งแรกในยุโรป ที่เมืองมิวนิก เยอรมนี - ศูนย์นี้จะช่วยนักพัฒนาชิปปรับแต่งการออกแบบให้เหมาะกับเทคโนโลยีของ TSMC - ให้บริการตั้งแต่ MCU สำหรับอุตสาหกรรมยานยนต์ ไปจนถึง โปรเซสเซอร์ AI และ HPC - TSMC มีศูนย์ออกแบบชิป 9 แห่งทั่วโลก และศูนย์ในมิวนิกเป็นแห่งที่ 10 - TSMC ร่วมมือกับ Bosch, Infineon และ NXP สร้างโรงงานผลิตชิปแห่งแรกในยุโรป ‼️ คำเตือนที่ควรพิจารณา - การออกแบบชิปต้องมีการปรับแต่งอย่างต่อเนื่อง เพื่อให้ได้ประสิทธิภาพสูงสุด - การผลิตชิปต้องใช้เทคโนโลยีที่ซับซ้อน และต้องทำงานร่วมกับลูกค้าอย่างใกล้ชิด - ตลาดเซมิคอนดักเตอร์ในยุโรปยังอยู่ในช่วงฟื้นตัว และต้องแข่งขันกับสหรัฐฯ และเอเชีย - TSMC ไม่ได้ออกแบบชิปเอง แต่ให้บริการปรับแต่งการออกแบบให้เหมาะกับการผลิต การเปิดศูนย์ออกแบบชิปในยุโรปของ TSMC เป็นก้าวสำคัญที่ช่วยให้นักพัฒนาชิปในยุโรปสามารถเข้าถึงเทคโนโลยีการผลิตระดับสูงได้ง่ายขึ้น อย่างไรก็ตาม การแข่งขันในอุตสาหกรรมเซมิคอนดักเตอร์ยังคงเข้มข้น และต้องจับตาดูว่าการลงทุนนี้จะช่วยให้ยุโรปสามารถแข่งขันกับตลาดอื่น ๆ ได้มากน้อยเพียงใด https://www.tomshardware.com/tech-industry/semiconductors/tsmc-to-open-up-chip-design-center-in-munich-to-help-local-chip-developers
    0 ความคิดเห็น 0 การแบ่งปัน 225 มุมมอง 0 รีวิว
  • Nvidia กำลังพัฒนา 800V HVDC architecture เพื่อรองรับ AI server racks ที่ต้องการพลังงานระดับ 1 เมกะวัตต์ ซึ่งมากกว่าระบบปัจจุบันถึง 5 เท่า โดยคาดว่าจะเริ่มใช้งานภายในปี 2027

    การใช้ 800V HVDC จะช่วยลดความต้องการ ทองแดง ในการส่งพลังงานลงถึง 45% และช่วยให้ ศูนย์ข้อมูล AI สามารถขยายขนาดได้โดยไม่ต้องเพิ่มขนาดตัวนำไฟฟ้า นอกจากนี้ Nvidia ยังร่วมมือกับ Infineon, Texas Instruments และ Navitas เพื่อพัฒนา wide-bandgap semiconductors เช่น GaN และ SiC เพื่อเพิ่มประสิทธิภาพการใช้พลังงาน

    ✅ ข้อมูลจากข่าว
    - Nvidia พัฒนา 800V HVDC เพื่อรองรับ AI server racks ที่ต้องการพลังงานสูง
    - ระบบปัจจุบัน 54V DC กำลังถึงขีดจำกัดเมื่อเซิร์ฟเวอร์ใช้พลังงานเกิน 200 กิโลวัตต์
    - 800V HVDC จะช่วยลดความต้องการทองแดงลง 45%
    - Nvidia ร่วมมือกับ Infineon, Texas Instruments และ Navitas เพื่อพัฒนา wide-bandgap semiconductors
    - คาดว่า 800V HVDC จะเริ่มใช้งานใน 2027

    ‼️ คำเตือนที่ควรพิจารณา
    - การเปลี่ยนไปใช้ 800V HVDC ต้องใช้การปรับโครงสร้างระบบไฟฟ้าในศูนย์ข้อมูล
    - การใช้พลังงานระดับเมกะวัตต์ อาจเพิ่มความท้าทายด้าน ความร้อนและการระบายอากาศ
    - การพึ่งพาเทคโนโลยีใหม่ เช่น GaN และ SiC อาจต้องใช้เวลาพัฒนาให้เสถียร
    - ค่าใช้จ่ายในการเปลี่ยนระบบ อาจสูง และต้องรอดูว่าศูนย์ข้อมูลจะปรับตัวได้เร็วแค่ไหน

    การพัฒนา 800V HVDC ของ Nvidia เป็นก้าวสำคัญในการรองรับ AI server racks ที่ต้องการพลังงานสูงขึ้นเรื่อย ๆ อย่างไรก็ตาม การเปลี่ยนแปลงนี้ยังต้องจับตาดูว่าศูนย์ข้อมูลจะสามารถปรับตัวได้เร็วแค่ไหน

    https://www.tomshardware.com/tech-industry/nvidia-to-boost-ai-server-racks-to-megawatt-scale-increasing-power-delivery-by-five-times-or-more
    Nvidia กำลังพัฒนา 800V HVDC architecture เพื่อรองรับ AI server racks ที่ต้องการพลังงานระดับ 1 เมกะวัตต์ ซึ่งมากกว่าระบบปัจจุบันถึง 5 เท่า โดยคาดว่าจะเริ่มใช้งานภายในปี 2027 การใช้ 800V HVDC จะช่วยลดความต้องการ ทองแดง ในการส่งพลังงานลงถึง 45% และช่วยให้ ศูนย์ข้อมูล AI สามารถขยายขนาดได้โดยไม่ต้องเพิ่มขนาดตัวนำไฟฟ้า นอกจากนี้ Nvidia ยังร่วมมือกับ Infineon, Texas Instruments และ Navitas เพื่อพัฒนา wide-bandgap semiconductors เช่น GaN และ SiC เพื่อเพิ่มประสิทธิภาพการใช้พลังงาน ✅ ข้อมูลจากข่าว - Nvidia พัฒนา 800V HVDC เพื่อรองรับ AI server racks ที่ต้องการพลังงานสูง - ระบบปัจจุบัน 54V DC กำลังถึงขีดจำกัดเมื่อเซิร์ฟเวอร์ใช้พลังงานเกิน 200 กิโลวัตต์ - 800V HVDC จะช่วยลดความต้องการทองแดงลง 45% - Nvidia ร่วมมือกับ Infineon, Texas Instruments และ Navitas เพื่อพัฒนา wide-bandgap semiconductors - คาดว่า 800V HVDC จะเริ่มใช้งานใน 2027 ‼️ คำเตือนที่ควรพิจารณา - การเปลี่ยนไปใช้ 800V HVDC ต้องใช้การปรับโครงสร้างระบบไฟฟ้าในศูนย์ข้อมูล - การใช้พลังงานระดับเมกะวัตต์ อาจเพิ่มความท้าทายด้าน ความร้อนและการระบายอากาศ - การพึ่งพาเทคโนโลยีใหม่ เช่น GaN และ SiC อาจต้องใช้เวลาพัฒนาให้เสถียร - ค่าใช้จ่ายในการเปลี่ยนระบบ อาจสูง และต้องรอดูว่าศูนย์ข้อมูลจะปรับตัวได้เร็วแค่ไหน การพัฒนา 800V HVDC ของ Nvidia เป็นก้าวสำคัญในการรองรับ AI server racks ที่ต้องการพลังงานสูงขึ้นเรื่อย ๆ อย่างไรก็ตาม การเปลี่ยนแปลงนี้ยังต้องจับตาดูว่าศูนย์ข้อมูลจะสามารถปรับตัวได้เร็วแค่ไหน https://www.tomshardware.com/tech-industry/nvidia-to-boost-ai-server-racks-to-megawatt-scale-increasing-power-delivery-by-five-times-or-more
    0 ความคิดเห็น 0 การแบ่งปัน 149 มุมมอง 0 รีวิว
Pages Boosts