• ไอคอน Windows 95 ยังซ่อนอยู่ใน Windows 11 – ย้อนวันวานผ่านไฟล์ลับ pifmgr.dll

    แม้ Windows 11 จะเป็นระบบปฏิบัติการที่ทันสมัยที่สุดของ Microsoft แต่ยังมี “ไอคอนยุคโบราณ” จาก Windows 95 ซ่อนอยู่ในระบบ! Raymond Chen วิศวกรของ Microsoft เผยว่าไฟล์ชื่อ pifmgr.dll ในโฟลเดอร์ System32 ยังเก็บไอคอนขนาด 32x32 พิกเซล สี 16 สีไว้เหมือนเดิมตั้งแต่ปี 1995

    ไฟล์นี้เคยใช้สำหรับจัดการ PIF (Program Information Files) ซึ่งเป็นไฟล์ที่ช่วยให้ Windows 95 รันโปรแกรม MS-DOS ได้อย่างเหมาะสม และเพื่อให้ผู้ใช้สามารถเลือกไอคอนเองได้เมื่อโปรแกรมไม่มีไอคอนเฉพาะ

    หากคุณอยากลองใช้ไอคอนเหล่านี้บน Windows 11 ก็สามารถทำได้ง่าย ๆ ด้วยการเปลี่ยนไอคอนของ shortcut โดยระบุเส้นทางไปที่ %SystemRoot%\System32\pifmgr.dll ในหน้าต่าง “Change Icon”

    นอกจากนี้ยังมีไฟล์ DLL อื่น ๆ ที่เก็บไอคอนจากยุคต่าง ๆ เช่น imageres.dll และ moricons.dll ซึ่งสามารถใช้วิธีเดียวกันในการเข้าถึง

    ไอคอน Windows 95 ยังอยู่ใน Windows 11
    ซ่อนอยู่ในไฟล์ pifmgr.dll ในโฟลเดอร์ System32
    เป็นไอคอนขนาด 32x32 พิกเซล สี 16 สี
    เคยใช้กับโปรแกรม MS-DOS ที่ไม่มีไอคอนเฉพาะ

    วิธีเรียกดูและใช้งานไอคอนเก่า
    คลิกขวา shortcut → Properties → Shortcut tab
    กด “Change Icon” แล้วใส่ %SystemRoot%\System32\pifmgr.dll
    เลือกไอคอนที่ต้องการแล้วกด OK

    ไฟล์อื่นที่มีไอคอนเก่า
    imageres.dll – ไอคอนระบบทั่วไป
    moricons.dll – ไอคอนจากยุค Windows 3.x
    ใช้วิธีเดียวกันในการเข้าถึง

    https://www.techradar.com/computing/windows/windows-95-icons-still-exist-in-windows-11-today-as-dusty-old-relics-from-another-time-heres-where-to-find-them
    🖼️ ไอคอน Windows 95 ยังซ่อนอยู่ใน Windows 11 – ย้อนวันวานผ่านไฟล์ลับ pifmgr.dll แม้ Windows 11 จะเป็นระบบปฏิบัติการที่ทันสมัยที่สุดของ Microsoft แต่ยังมี “ไอคอนยุคโบราณ” จาก Windows 95 ซ่อนอยู่ในระบบ! Raymond Chen วิศวกรของ Microsoft เผยว่าไฟล์ชื่อ pifmgr.dll ในโฟลเดอร์ System32 ยังเก็บไอคอนขนาด 32x32 พิกเซล สี 16 สีไว้เหมือนเดิมตั้งแต่ปี 1995 ไฟล์นี้เคยใช้สำหรับจัดการ PIF (Program Information Files) ซึ่งเป็นไฟล์ที่ช่วยให้ Windows 95 รันโปรแกรม MS-DOS ได้อย่างเหมาะสม และเพื่อให้ผู้ใช้สามารถเลือกไอคอนเองได้เมื่อโปรแกรมไม่มีไอคอนเฉพาะ หากคุณอยากลองใช้ไอคอนเหล่านี้บน Windows 11 ก็สามารถทำได้ง่าย ๆ ด้วยการเปลี่ยนไอคอนของ shortcut โดยระบุเส้นทางไปที่ %SystemRoot%\System32\pifmgr.dll ในหน้าต่าง “Change Icon” นอกจากนี้ยังมีไฟล์ DLL อื่น ๆ ที่เก็บไอคอนจากยุคต่าง ๆ เช่น imageres.dll และ moricons.dll ซึ่งสามารถใช้วิธีเดียวกันในการเข้าถึง ✅ ไอคอน Windows 95 ยังอยู่ใน Windows 11 ➡️ ซ่อนอยู่ในไฟล์ pifmgr.dll ในโฟลเดอร์ System32 ➡️ เป็นไอคอนขนาด 32x32 พิกเซล สี 16 สี ➡️ เคยใช้กับโปรแกรม MS-DOS ที่ไม่มีไอคอนเฉพาะ ✅ วิธีเรียกดูและใช้งานไอคอนเก่า ➡️ คลิกขวา shortcut → Properties → Shortcut tab ➡️ กด “Change Icon” แล้วใส่ %SystemRoot%\System32\pifmgr.dll ➡️ เลือกไอคอนที่ต้องการแล้วกด OK ✅ ไฟล์อื่นที่มีไอคอนเก่า ➡️ imageres.dll – ไอคอนระบบทั่วไป ➡️ moricons.dll – ไอคอนจากยุค Windows 3.x ➡️ ใช้วิธีเดียวกันในการเข้าถึง https://www.techradar.com/computing/windows/windows-95-icons-still-exist-in-windows-11-today-as-dusty-old-relics-from-another-time-heres-where-to-find-them
    0 ความคิดเห็น 0 การแบ่งปัน 14 มุมมอง 0 รีวิว
  • เซิร์ฟเวอร์ AI ผลิตในฮิวสตันของ Apple เริ่มจัดส่งแล้ว – รองรับ Private Cloud Compute เพื่อความเป็นส่วนตัวสูงสุด

    Apple ประกาศเริ่มจัดส่งเซิร์ฟเวอร์ AI ที่ผลิตในโรงงานใหม่ที่เมืองฮิวสตัน สหรัฐอเมริกา โดยเซิร์ฟเวอร์เหล่านี้จะถูกนำไปใช้ในระบบ Private Cloud Compute (PCC) ซึ่งเป็นโครงสร้างพื้นฐานด้าน AI ที่เน้นความปลอดภัยและความเป็นส่วนตัวของผู้ใช้เป็นหลัก

    PCC ถูกออกแบบมาให้ทำงานร่วมกับอุปกรณ์ของผู้ใช้ เช่น iPhone หรือ Mac โดยเมื่ออุปกรณ์ต้องส่งคำขอไปยังคลาวด์ ข้อมูลจะถูกส่งไปยังระบบปฏิบัติการแบบ clean-room ที่ไม่มีการเก็บข้อมูล ไม่มีการติดตาม และไม่มีหน่วยความจำถาวร หลังจากประมวลผลเสร็จ ข้อมูลจะถูกลบออกทันที

    Apple ยังเปิดให้ผู้เชี่ยวชาญด้านความปลอดภัยตรวจสอบระบบ PCC ได้ผ่าน Virtual Research Environment และจะเผยแพร่ภาพของระบบปฏิบัติการที่ใช้ในแต่ละเซิร์ฟเวอร์ เพื่อให้ตรวจสอบความปลอดภัยได้อย่างโปร่งใส

    แม้จะยังไม่เปิดเผยว่าเซิร์ฟเวอร์ใช้ชิปอะไร แต่คาดว่าเป็น Apple Silicon รุ่นใหม่ที่พัฒนาต่อจากซีรีส์ M โดยเน้นการประมวลผล AI แบบไฮบริด คือทำงานบนอุปกรณ์ก่อน แล้วค่อยส่งต่อไปยัง PCC เมื่อจำเป็น

    การเปิดตัวเซิร์ฟเวอร์ AI ของ Apple
    ผลิตในโรงงานใหม่ที่ฮิวสตัน สหรัฐอเมริกา
    ใช้ในระบบ Private Cloud Compute (PCC)
    รองรับการประมวลผล AI แบบไฮบริด (on-device + cloud)
    เน้นความปลอดภัยและความเป็นส่วนตัวของผู้ใช้

    ความปลอดภัยของ PCC
    ใช้ระบบปฏิบัติการแบบ clean-room ไม่มีการเก็บข้อมูล
    ไม่มีหน่วยความจำถาวร ไม่มี telemetry
    ลบข้อมูลทันทีหลังประมวลผล
    เปิดให้ตรวจสอบผ่าน Virtual Research Environment

    ความคาดหวังและผลกระทบ
    เป็นส่วนหนึ่งของแผนลงทุน $600 พันล้านในสหรัฐฯ
    ช่วยขยายขีดความสามารถของ Apple Intelligence
    ไม่พึ่งพาฮาร์ดแวร์จากผู้ผลิตรายอื่น
    ท้าทายแนวทางของ Microsoft และ Google ที่ใช้ GPU-heavy cloud

    https://www.tomshardware.com/desktops/servers/apples-houston-built-ai-servers-now-shipping
    🚚 เซิร์ฟเวอร์ AI ผลิตในฮิวสตันของ Apple เริ่มจัดส่งแล้ว – รองรับ Private Cloud Compute เพื่อความเป็นส่วนตัวสูงสุด Apple ประกาศเริ่มจัดส่งเซิร์ฟเวอร์ AI ที่ผลิตในโรงงานใหม่ที่เมืองฮิวสตัน สหรัฐอเมริกา โดยเซิร์ฟเวอร์เหล่านี้จะถูกนำไปใช้ในระบบ Private Cloud Compute (PCC) ซึ่งเป็นโครงสร้างพื้นฐานด้าน AI ที่เน้นความปลอดภัยและความเป็นส่วนตัวของผู้ใช้เป็นหลัก PCC ถูกออกแบบมาให้ทำงานร่วมกับอุปกรณ์ของผู้ใช้ เช่น iPhone หรือ Mac โดยเมื่ออุปกรณ์ต้องส่งคำขอไปยังคลาวด์ ข้อมูลจะถูกส่งไปยังระบบปฏิบัติการแบบ clean-room ที่ไม่มีการเก็บข้อมูล ไม่มีการติดตาม และไม่มีหน่วยความจำถาวร หลังจากประมวลผลเสร็จ ข้อมูลจะถูกลบออกทันที Apple ยังเปิดให้ผู้เชี่ยวชาญด้านความปลอดภัยตรวจสอบระบบ PCC ได้ผ่าน Virtual Research Environment และจะเผยแพร่ภาพของระบบปฏิบัติการที่ใช้ในแต่ละเซิร์ฟเวอร์ เพื่อให้ตรวจสอบความปลอดภัยได้อย่างโปร่งใส แม้จะยังไม่เปิดเผยว่าเซิร์ฟเวอร์ใช้ชิปอะไร แต่คาดว่าเป็น Apple Silicon รุ่นใหม่ที่พัฒนาต่อจากซีรีส์ M โดยเน้นการประมวลผล AI แบบไฮบริด คือทำงานบนอุปกรณ์ก่อน แล้วค่อยส่งต่อไปยัง PCC เมื่อจำเป็น ✅ การเปิดตัวเซิร์ฟเวอร์ AI ของ Apple ➡️ ผลิตในโรงงานใหม่ที่ฮิวสตัน สหรัฐอเมริกา ➡️ ใช้ในระบบ Private Cloud Compute (PCC) ➡️ รองรับการประมวลผล AI แบบไฮบริด (on-device + cloud) ➡️ เน้นความปลอดภัยและความเป็นส่วนตัวของผู้ใช้ ✅ ความปลอดภัยของ PCC ➡️ ใช้ระบบปฏิบัติการแบบ clean-room ไม่มีการเก็บข้อมูล ➡️ ไม่มีหน่วยความจำถาวร ไม่มี telemetry ➡️ ลบข้อมูลทันทีหลังประมวลผล ➡️ เปิดให้ตรวจสอบผ่าน Virtual Research Environment ✅ ความคาดหวังและผลกระทบ ➡️ เป็นส่วนหนึ่งของแผนลงทุน $600 พันล้านในสหรัฐฯ ➡️ ช่วยขยายขีดความสามารถของ Apple Intelligence ➡️ ไม่พึ่งพาฮาร์ดแวร์จากผู้ผลิตรายอื่น ➡️ ท้าทายแนวทางของ Microsoft และ Google ที่ใช้ GPU-heavy cloud https://www.tomshardware.com/desktops/servers/apples-houston-built-ai-servers-now-shipping
    WWW.TOMSHARDWARE.COM
    Apple's Houston-built AI servers are now shipping, according to CEO Tim Cook — custom silicon to power Private Cloud Compute
    Apple has begun deploying custom silicon servers from a new US facility to power Private Cloud Compute, its privacy-first AI backend.
    0 ความคิดเห็น 0 การแบ่งปัน 16 มุมมอง 0 รีวิว
  • ถ้า iPhone Air ใช้แบตเตอรี่ซิลิคอน-คาร์บอน อาจไม่ล้มเหลว – เทคโนโลยีที่จีนใช้แล้ว แต่ Apple ยังลังเล

    Apple เปิดตัว iPhone Air ด้วยดีไซน์บางเฉียบเพียง 5.6 มม. แต่กลับมาพร้อมแบตเตอรี่ที่เล็กที่สุดในซีรีส์ iPhone 17 คือ 3,149mAh ซึ่งกลายเป็นจุดอ่อนสำคัญที่ทำให้ยอดขายตกต่ำจนบริษัทต้องลดการผลิตลงถึง 80% ตามรายงานของ Ming-Chi Kuo แม้จะมีความบางที่โดดเด่น แต่ผู้ใช้กลับไม่พอใจเรื่องแบตเตอรี่ที่หมดเร็ว

    บทความชี้ว่า Apple สามารถหลีกเลี่ยงปัญหานี้ได้ หากเลือกใช้แบตเตอรี่แบบซิลิคอน-คาร์บอน (Si-C) ซึ่งมีความจุสูงกว่าลิเธียมไอออนทั่วไปถึง 10 เท่า โดยใช้แอโนดที่ทำจากวัสดุผสมซิลิคอนและคาร์บอนแบบนาโน แม้จะมีข้อเสียเรื่องการขยายตัวเมื่อชาร์จเต็ม แต่เทคโนโลยีใหม่สามารถลดผลกระทบนี้ได้มาก

    หลายแบรนด์จีน เช่น HONOR, Xiaomi, Tecno ได้เริ่มใช้แบตเตอรี่ Si-C แล้วในสมาร์ทโฟนรุ่นบางเฉียบ เช่น HONOR Magic V5 ที่บางเพียง 4.1 มม. แต่ยังใส่แบตเตอรี่ขนาด 5,160mAh ได้ เทียบกับ iPhone Air ที่บางกว่า Tecno Pova Slim 5G เพียง 6% แต่แบตเตอรี่เล็กกว่าถึง 39%

    แม้ Apple จะกังวลเรื่องอายุการใช้งานของแบตเตอรี่ Si-C ที่อาจเสื่อมภายใน 2–3 ปี แต่การเลือกใช้แบตเตอรี่ขนาดเล็กเพื่อรักษาความบาง กลับทำให้ iPhone Air ถูกมองว่า “สวยแต่ไร้สาระ” และยอดขายที่ตกต่ำก็สะท้อนถึงการตัดสินใจที่ผิดพลาด

    จุดอ่อนของ iPhone Air
    ดีไซน์บางเฉียบเพียง 5.6 มม.
    ใช้แบตเตอรี่ขนาดเล็กที่สุดในซีรีส์ iPhone 17 (3,149mAh)
    ยอดขายตกต่ำจน Apple ต้องลดการผลิตลง 80%

    ข้อเสนอทางเลือก: แบตเตอรี่ซิลิคอน-คาร์บอน (Si-C)
    มีความจุสูงกว่าลิเธียมไอออนถึง 10 เท่า
    ใช้แอโนดแบบนาโนซิลิคอน-คาร์บอน
    ลดปัญหาการขยายตัวด้วยโครงสร้างคาร์บอนที่ทนต่อการแตกร้าว
    แบรนด์จีนหลายรายเริ่มใช้แล้ว เช่น HONOR, Xiaomi, Tecno

    การเปรียบเทียบกับสมาร์ทโฟนจีน
    HONOR Magic V5 บางเพียง 4.1 มม. แต่ใส่แบต 5,160mAh ได้
    Tecno Pova Slim 5G หนา 5.95 มม. ใส่แบต 5,160mAh
    iPhone Air บางกว่า Tecno 6% แต่แบตเล็กกว่าถึง 39%

    https://wccftech.com/a-silicon-carbon-battery-could-have-rescued-the-iphone-air/
    🔋 ถ้า iPhone Air ใช้แบตเตอรี่ซิลิคอน-คาร์บอน อาจไม่ล้มเหลว – เทคโนโลยีที่จีนใช้แล้ว แต่ Apple ยังลังเล Apple เปิดตัว iPhone Air ด้วยดีไซน์บางเฉียบเพียง 5.6 มม. แต่กลับมาพร้อมแบตเตอรี่ที่เล็กที่สุดในซีรีส์ iPhone 17 คือ 3,149mAh ซึ่งกลายเป็นจุดอ่อนสำคัญที่ทำให้ยอดขายตกต่ำจนบริษัทต้องลดการผลิตลงถึง 80% ตามรายงานของ Ming-Chi Kuo แม้จะมีความบางที่โดดเด่น แต่ผู้ใช้กลับไม่พอใจเรื่องแบตเตอรี่ที่หมดเร็ว บทความชี้ว่า Apple สามารถหลีกเลี่ยงปัญหานี้ได้ หากเลือกใช้แบตเตอรี่แบบซิลิคอน-คาร์บอน (Si-C) ซึ่งมีความจุสูงกว่าลิเธียมไอออนทั่วไปถึง 10 เท่า โดยใช้แอโนดที่ทำจากวัสดุผสมซิลิคอนและคาร์บอนแบบนาโน แม้จะมีข้อเสียเรื่องการขยายตัวเมื่อชาร์จเต็ม แต่เทคโนโลยีใหม่สามารถลดผลกระทบนี้ได้มาก หลายแบรนด์จีน เช่น HONOR, Xiaomi, Tecno ได้เริ่มใช้แบตเตอรี่ Si-C แล้วในสมาร์ทโฟนรุ่นบางเฉียบ เช่น HONOR Magic V5 ที่บางเพียง 4.1 มม. แต่ยังใส่แบตเตอรี่ขนาด 5,160mAh ได้ เทียบกับ iPhone Air ที่บางกว่า Tecno Pova Slim 5G เพียง 6% แต่แบตเตอรี่เล็กกว่าถึง 39% แม้ Apple จะกังวลเรื่องอายุการใช้งานของแบตเตอรี่ Si-C ที่อาจเสื่อมภายใน 2–3 ปี แต่การเลือกใช้แบตเตอรี่ขนาดเล็กเพื่อรักษาความบาง กลับทำให้ iPhone Air ถูกมองว่า “สวยแต่ไร้สาระ” และยอดขายที่ตกต่ำก็สะท้อนถึงการตัดสินใจที่ผิดพลาด ✅ จุดอ่อนของ iPhone Air ➡️ ดีไซน์บางเฉียบเพียง 5.6 มม. ➡️ ใช้แบตเตอรี่ขนาดเล็กที่สุดในซีรีส์ iPhone 17 (3,149mAh) ➡️ ยอดขายตกต่ำจน Apple ต้องลดการผลิตลง 80% ✅ ข้อเสนอทางเลือก: แบตเตอรี่ซิลิคอน-คาร์บอน (Si-C) ➡️ มีความจุสูงกว่าลิเธียมไอออนถึง 10 เท่า ➡️ ใช้แอโนดแบบนาโนซิลิคอน-คาร์บอน ➡️ ลดปัญหาการขยายตัวด้วยโครงสร้างคาร์บอนที่ทนต่อการแตกร้าว ➡️ แบรนด์จีนหลายรายเริ่มใช้แล้ว เช่น HONOR, Xiaomi, Tecno ✅ การเปรียบเทียบกับสมาร์ทโฟนจีน ➡️ HONOR Magic V5 บางเพียง 4.1 มม. แต่ใส่แบต 5,160mAh ได้ ➡️ Tecno Pova Slim 5G หนา 5.95 มม. ใส่แบต 5,160mAh ➡️ iPhone Air บางกว่า Tecno 6% แต่แบตเล็กกว่าถึง 39% https://wccftech.com/a-silicon-carbon-battery-could-have-rescued-the-iphone-air/
    WCCFTECH.COM
    A Silicon-Carbon Battery Could Have Rescued The iPhone Air
    No one ever said, "Geez, my iPhone is too fat; Apple better give me a razor-thin phone next time." Yes, I'm talking about the iPhone Air.
    0 ความคิดเห็น 0 การแบ่งปัน 70 มุมมอง 0 รีวิว
  • Tesla เปิดตัวชิป AI5 รุ่นใหม่ – เร็วกว่าเดิม 40 เท่า พร้อมผลิตโดย Samsung และ TSMC

    Elon Musk ประกาศว่า Tesla ได้พัฒนาชิป AI5 รุ่นใหม่สำหรับระบบปัญญาประดิษฐ์ในรถยนต์ โดยมีประสิทธิภาพสูงกว่ารุ่นก่อนถึง 40 เท่า! ชิปนี้จะถูกผลิตโดยสองยักษ์ใหญ่ในวงการเซมิคอนดักเตอร์คือ Samsung และ TSMC ซึ่งถือเป็นการยกระดับเทคโนโลยีของ Tesla ไปอีกขั้น

    ชิป AI5 รุ่นใหม่นี้จะถูกนำไปใช้ในระบบ Full Self-Driving (FSD) และ Dojo ซึ่งเป็นแพลตฟอร์มฝึกโมเดล AI ของ Tesla โดยเน้นการประมวลผลแบบ edge computing ที่สามารถทำงานได้โดยไม่ต้องพึ่งพา cloud ตลอดเวลา ชิปนี้ยังถูกออกแบบให้รองรับการประมวลผลแบบ real-time สำหรับการขับขี่อัตโนมัติ และการวิเคราะห์ภาพจากกล้องหลายตัวในรถ

    การร่วมมือกับ Samsung และ TSMC ไม่เพียงแต่ช่วยให้ Tesla สามารถผลิตชิปได้ในปริมาณมาก แต่ยังเป็นการกระจายความเสี่ยงด้าน supply chain ในช่วงที่อุตสาหกรรมเซมิคอนดักเตอร์ทั่วโลกยังเผชิญกับความไม่แน่นอน

    การเปิดตัวชิป AI5 รุ่นใหม่ของ Tesla
    มีประสิทธิภาพสูงกว่ารุ่นก่อนถึง 40 เท่า
    ใช้ในระบบ Full Self-Driving (FSD) และ Dojo
    รองรับการประมวลผลแบบ edge computing และ real-time
    ออกแบบมาเพื่อวิเคราะห์ภาพจากกล้องหลายตัวในรถ

    ความร่วมมือกับผู้ผลิตชิป
    ผลิตโดย Samsung และ TSMC
    ช่วยเพิ่มกำลังการผลิตและลดความเสี่ยงด้าน supply chain
    ใช้เทคโนโลยีการผลิตขั้นสูง เช่น 2nm และ 3nm

    ผลกระทบต่ออุตสาหกรรมรถยนต์
    ยกระดับความสามารถของรถยนต์ Tesla ในการขับขี่อัตโนมัติ
    เพิ่มความแม่นยำและความเร็วในการประมวลผลข้อมูลจากเซนเซอร์
    อาจเป็นจุดเปลี่ยนสำคัญในการแข่งขันด้าน AI ในรถยนต์

    ข้อควรระวังและความท้าทาย
    การผลิตชิปขั้นสูงต้องใช้เทคโนโลยีที่ซับซ้อนและต้นทุนสูง
    ความล่าช้าในการผลิตอาจกระทบต่อการเปิดตัวรถรุ่นใหม่
    การพึ่งพาผู้ผลิตภายนอกอาจมีความเสี่ยงด้านความมั่นคงของ supply chain
    หากระบบ FSD ยังไม่ผ่านการรับรองในหลายประเทศ อาจจำกัดการใช้งานจริง

    https://www.tomshardware.com/tech-industry/elon-musk-claims-teslas-new-ai5-chip-is-40x-more-performant-than-previous-gen-ai5-next-gen-custom-silicon-for-vehicle-ai-to-now-be-built-by-samsung-and-tsmc
    🚗 Tesla เปิดตัวชิป AI5 รุ่นใหม่ – เร็วกว่าเดิม 40 เท่า พร้อมผลิตโดย Samsung และ TSMC Elon Musk ประกาศว่า Tesla ได้พัฒนาชิป AI5 รุ่นใหม่สำหรับระบบปัญญาประดิษฐ์ในรถยนต์ โดยมีประสิทธิภาพสูงกว่ารุ่นก่อนถึง 40 เท่า! ชิปนี้จะถูกผลิตโดยสองยักษ์ใหญ่ในวงการเซมิคอนดักเตอร์คือ Samsung และ TSMC ซึ่งถือเป็นการยกระดับเทคโนโลยีของ Tesla ไปอีกขั้น ชิป AI5 รุ่นใหม่นี้จะถูกนำไปใช้ในระบบ Full Self-Driving (FSD) และ Dojo ซึ่งเป็นแพลตฟอร์มฝึกโมเดล AI ของ Tesla โดยเน้นการประมวลผลแบบ edge computing ที่สามารถทำงานได้โดยไม่ต้องพึ่งพา cloud ตลอดเวลา ชิปนี้ยังถูกออกแบบให้รองรับการประมวลผลแบบ real-time สำหรับการขับขี่อัตโนมัติ และการวิเคราะห์ภาพจากกล้องหลายตัวในรถ การร่วมมือกับ Samsung และ TSMC ไม่เพียงแต่ช่วยให้ Tesla สามารถผลิตชิปได้ในปริมาณมาก แต่ยังเป็นการกระจายความเสี่ยงด้าน supply chain ในช่วงที่อุตสาหกรรมเซมิคอนดักเตอร์ทั่วโลกยังเผชิญกับความไม่แน่นอน ✅ การเปิดตัวชิป AI5 รุ่นใหม่ของ Tesla ➡️ มีประสิทธิภาพสูงกว่ารุ่นก่อนถึง 40 เท่า ➡️ ใช้ในระบบ Full Self-Driving (FSD) และ Dojo ➡️ รองรับการประมวลผลแบบ edge computing และ real-time ➡️ ออกแบบมาเพื่อวิเคราะห์ภาพจากกล้องหลายตัวในรถ ✅ ความร่วมมือกับผู้ผลิตชิป ➡️ ผลิตโดย Samsung และ TSMC ➡️ ช่วยเพิ่มกำลังการผลิตและลดความเสี่ยงด้าน supply chain ➡️ ใช้เทคโนโลยีการผลิตขั้นสูง เช่น 2nm และ 3nm ✅ ผลกระทบต่ออุตสาหกรรมรถยนต์ ➡️ ยกระดับความสามารถของรถยนต์ Tesla ในการขับขี่อัตโนมัติ ➡️ เพิ่มความแม่นยำและความเร็วในการประมวลผลข้อมูลจากเซนเซอร์ ➡️ อาจเป็นจุดเปลี่ยนสำคัญในการแข่งขันด้าน AI ในรถยนต์ ‼️ ข้อควรระวังและความท้าทาย ⛔ การผลิตชิปขั้นสูงต้องใช้เทคโนโลยีที่ซับซ้อนและต้นทุนสูง ⛔ ความล่าช้าในการผลิตอาจกระทบต่อการเปิดตัวรถรุ่นใหม่ ⛔ การพึ่งพาผู้ผลิตภายนอกอาจมีความเสี่ยงด้านความมั่นคงของ supply chain ⛔ หากระบบ FSD ยังไม่ผ่านการรับรองในหลายประเทศ อาจจำกัดการใช้งานจริง https://www.tomshardware.com/tech-industry/elon-musk-claims-teslas-new-ai5-chip-is-40x-more-performant-than-previous-gen-ai5-next-gen-custom-silicon-for-vehicle-ai-to-now-be-built-by-samsung-and-tsmc
    0 ความคิดเห็น 0 การแบ่งปัน 55 มุมมอง 0 รีวิว
  • จีนประกาศแผน 5 ปีใหม่ มุ่งพึ่งพาตนเองด้านเซมิคอนดักเตอร์และ AI พร้อมกระตุ้นการใช้จ่ายในประเทศ

    จีนกำลังวางแผนพัฒนาเศรษฐกิจระยะยาวฉบับใหม่ (ปี 2026–2030) โดยเน้นการพึ่งพาตนเองด้านเทคโนโลยี โดยเฉพาะเซมิคอนดักเตอร์และปัญญาประดิษฐ์ (AI) ซึ่งถือเป็นหัวใจสำคัญของการเติบโตทางเศรษฐกิจในยุคใหม่ แผนนี้ถูกผลักดันโดยพรรคคอมมิวนิสต์จีน และมีเป้าหมายเพื่อรับมือกับความเสี่ยงจากการพึ่งพาการส่งออกและผลกระทบจากสงครามการค้ากับสหรัฐฯ

    จีนต้องการเปลี่ยนโครงสร้างเศรษฐกิจจากการพึ่งพาการส่งออก มาเป็นการกระตุ้นการใช้จ่ายภายในประเทศ โดยจะเพิ่มความมั่นคงทางการเงินให้ประชาชนผ่านการปรับปรุงระบบประกันสังคมและสนับสนุนภาคอสังหาริมทรัพย์ เพื่อให้ประชาชนกล้าจับจ่ายใช้สอยมากขึ้น

    ในด้านเทคโนโลยี รัฐบาลจะสนับสนุนการผลิตภายในประเทศ โดยเฉพาะในอุตสาหกรรมเซมิคอนดักเตอร์และ AI ซึ่งเป็นเป้าหมายหลักของแผนนี้ พร้อมทั้งส่งเสริมการพัฒนาอุตสาหกรรมใหม่ เช่น การบิน การขนส่ง และอินเทอร์เน็ต เพื่อสร้าง “พลังการผลิตคุณภาพใหม่” ที่จะผลักดันเศรษฐกิจจีนให้เติบโตอย่างมั่นคง

    แผนพัฒนาเศรษฐกิจ 5 ปีใหม่ของจีน (2026–2030)
    เน้นการพึ่งพาตนเองด้านเทคโนโลยี โดยเฉพาะเซมิคอนดักเตอร์และ AI
    ผลักดัน “พลังการผลิตคุณภาพใหม่” เพื่อพัฒนาอุตสาหกรรมยุคใหม่
    รับมือกับความเสี่ยงจากการพึ่งพาการส่งออกและสงครามการค้ากับสหรัฐฯ

    การปรับโครงสร้างเศรษฐกิจ
    ลดสัดส่วนการพึ่งพาการส่งออก ซึ่งเคยสูงสุดในปี 2024
    กระตุ้นการใช้จ่ายภายในประเทศที่ยังต่ำกว่า 40% ของ GDP
    ปรับปรุงระบบประกันสังคมและสนับสนุนภาคอสังหาริมทรัพย์
    เพิ่มความมั่นคงทางการเงินให้ประชาชนเพื่อกระตุ้นการบริโภค

    ผลกระทบต่อภาคเทคโนโลยี
    บริษัทเทคโนโลยีจีนจะได้รับการสนับสนุนจากรัฐบาล
    ความต้องการภายในประเทศจะเพิ่มขึ้นจากการกระตุ้นการใช้จ่าย
    การพัฒนาเทคโนโลยีภายในจะช่วยลดการพึ่งพาตะวันตก

    ข้อควรระวังและความท้าทาย
    การเปลี่ยนโครงสร้างเศรษฐกิจต้องใช้เวลาและอาจกระทบการเติบโตระยะสั้น
    การพัฒนาเทคโนโลยีภายในอาจเผชิญกับข้อจำกัดด้านทรัพยากรและความเชี่ยวชาญ
    ความไม่แน่นอนจากสงครามการค้าอาจส่งผลต่อเสถียรภาพทางเศรษฐกิจ
    การกระตุ้นการใช้จ่ายอาจไม่สำเร็จหากประชาชนยังขาดความมั่นใจ

    https://www.tomshardware.com/tech-industry/china-seeks-semiconductor-and-ai-self-reliance-in-ambitious-new-5-year-plan-beijing-also-wants-to-increase-domestic-spending-and-reduce-reliance-on-exports
    🇨🇳 จีนประกาศแผน 5 ปีใหม่ มุ่งพึ่งพาตนเองด้านเซมิคอนดักเตอร์และ AI พร้อมกระตุ้นการใช้จ่ายในประเทศ จีนกำลังวางแผนพัฒนาเศรษฐกิจระยะยาวฉบับใหม่ (ปี 2026–2030) โดยเน้นการพึ่งพาตนเองด้านเทคโนโลยี โดยเฉพาะเซมิคอนดักเตอร์และปัญญาประดิษฐ์ (AI) ซึ่งถือเป็นหัวใจสำคัญของการเติบโตทางเศรษฐกิจในยุคใหม่ แผนนี้ถูกผลักดันโดยพรรคคอมมิวนิสต์จีน และมีเป้าหมายเพื่อรับมือกับความเสี่ยงจากการพึ่งพาการส่งออกและผลกระทบจากสงครามการค้ากับสหรัฐฯ จีนต้องการเปลี่ยนโครงสร้างเศรษฐกิจจากการพึ่งพาการส่งออก มาเป็นการกระตุ้นการใช้จ่ายภายในประเทศ โดยจะเพิ่มความมั่นคงทางการเงินให้ประชาชนผ่านการปรับปรุงระบบประกันสังคมและสนับสนุนภาคอสังหาริมทรัพย์ เพื่อให้ประชาชนกล้าจับจ่ายใช้สอยมากขึ้น ในด้านเทคโนโลยี รัฐบาลจะสนับสนุนการผลิตภายในประเทศ โดยเฉพาะในอุตสาหกรรมเซมิคอนดักเตอร์และ AI ซึ่งเป็นเป้าหมายหลักของแผนนี้ พร้อมทั้งส่งเสริมการพัฒนาอุตสาหกรรมใหม่ เช่น การบิน การขนส่ง และอินเทอร์เน็ต เพื่อสร้าง “พลังการผลิตคุณภาพใหม่” ที่จะผลักดันเศรษฐกิจจีนให้เติบโตอย่างมั่นคง ✅ แผนพัฒนาเศรษฐกิจ 5 ปีใหม่ของจีน (2026–2030) ➡️ เน้นการพึ่งพาตนเองด้านเทคโนโลยี โดยเฉพาะเซมิคอนดักเตอร์และ AI ➡️ ผลักดัน “พลังการผลิตคุณภาพใหม่” เพื่อพัฒนาอุตสาหกรรมยุคใหม่ ➡️ รับมือกับความเสี่ยงจากการพึ่งพาการส่งออกและสงครามการค้ากับสหรัฐฯ ✅ การปรับโครงสร้างเศรษฐกิจ ➡️ ลดสัดส่วนการพึ่งพาการส่งออก ซึ่งเคยสูงสุดในปี 2024 ➡️ กระตุ้นการใช้จ่ายภายในประเทศที่ยังต่ำกว่า 40% ของ GDP ➡️ ปรับปรุงระบบประกันสังคมและสนับสนุนภาคอสังหาริมทรัพย์ ➡️ เพิ่มความมั่นคงทางการเงินให้ประชาชนเพื่อกระตุ้นการบริโภค ✅ ผลกระทบต่อภาคเทคโนโลยี ➡️ บริษัทเทคโนโลยีจีนจะได้รับการสนับสนุนจากรัฐบาล ➡️ ความต้องการภายในประเทศจะเพิ่มขึ้นจากการกระตุ้นการใช้จ่าย ➡️ การพัฒนาเทคโนโลยีภายในจะช่วยลดการพึ่งพาตะวันตก ‼️ ข้อควรระวังและความท้าทาย ⛔ การเปลี่ยนโครงสร้างเศรษฐกิจต้องใช้เวลาและอาจกระทบการเติบโตระยะสั้น ⛔ การพัฒนาเทคโนโลยีภายในอาจเผชิญกับข้อจำกัดด้านทรัพยากรและความเชี่ยวชาญ ⛔ ความไม่แน่นอนจากสงครามการค้าอาจส่งผลต่อเสถียรภาพทางเศรษฐกิจ ⛔ การกระตุ้นการใช้จ่ายอาจไม่สำเร็จหากประชาชนยังขาดความมั่นใจ https://www.tomshardware.com/tech-industry/china-seeks-semiconductor-and-ai-self-reliance-in-ambitious-new-5-year-plan-beijing-also-wants-to-increase-domestic-spending-and-reduce-reliance-on-exports
    0 ความคิดเห็น 0 การแบ่งปัน 73 มุมมอง 0 รีวิว
  • “จีนเปิดฉากสอบสวน ‘แอนะล็อกชิป’ จากสหรัฐ – ขอข้อมูลลูกค้า กำไร และต้นทุนแบบละเอียด!”

    จีนกำลังดำเนินการสอบสวนการทุ่มตลาด (anti-dumping) ต่อชิปแอนะล็อกที่ผลิตในสหรัฐฯ โดยเฉพาะจากบริษัทอย่าง Texas Instruments และ Analog Devices ซึ่งเป็นผู้ผลิตรายใหญ่ในตลาดนี้ โดยกระทรวงพาณิชย์ของจีนได้ส่งแบบสอบถามไปยังบริษัทสหรัฐฯ เพื่อขอข้อมูลละเอียดเกี่ยวกับยอดขาย ต้นทุน กำไร และชื่อของลูกค้าในจีน

    แบบสอบถามนี้ครอบคลุมข้อมูลเชิงลึก เช่น ปริมาณการขาย ค่าขนส่ง ค่าคลังสินค้า และต้นทุนวัตถุดิบ โดยบริษัทมีเวลา 37 วันในการตอบกลับ ซึ่งถือเป็นการเคลื่อนไหวที่เกิดขึ้นในช่วงที่จีนและสหรัฐฯ กำลังเจรจาการค้าระดับสูง

    แม้จะไม่มีการระบุชื่อบริษัทอย่างเป็นทางการ แต่ลักษณะของชิปที่ถูกสอบสวน—เช่น commodity interface IC และ gate driver IC ที่ใช้เทคโนโลยี 40nm ขึ้นไป—ตรงกับผลิตภัณฑ์ของบริษัทสหรัฐฯ หลายราย

    การสอบสวนนี้เกิดขึ้นหลังจากจีนถูกสหรัฐฯ จำกัดการเข้าถึงชิป AI ระดับสูง และใช้การอนุญาตฮาร์ดแวร์ของ NVIDIA เป็นเครื่องมือในการเจรจา ทำให้จีนตอบโต้ด้วยการเปิดการสอบสวนด้านการทุ่มตลาดและการเลือกปฏิบัติ

    รายละเอียดการสอบสวนของจีน
    กระทรวงพาณิชย์จีนส่งแบบสอบถามไปยังบริษัทสหรัฐฯ
    ขอข้อมูลยอดขาย กำไร ต้นทุน และชื่อลูกค้าในจีน
    ครอบคลุมข้อมูลเช่นค่าขนส่ง ค่าคลังสินค้า และวัตถุดิบ
    บริษัทมีเวลา 37 วันในการตอบกลับ
    สอบสวนชิปแอนะล็อก เช่น interface IC และ gate driver IC

    บริบททางการค้าและการตอบโต้
    เกิดขึ้นในช่วงเจรจาการค้าระหว่างจีน-สหรัฐฯ
    สหรัฐฯ จำกัดการเข้าถึงชิป AI ระดับสูง
    จีนตอบโต้ด้วยการสอบสวนการทุ่มตลาดและการเลือกปฏิบัติ
    เป็นสัญญาณว่าจีนสามารถใช้มาตรการตอบโต้ทางการค้าได้
    การสอบสวนอาจนำไปสู่การจำกัดการนำเข้าชิปจากสหรัฐฯ

    https://www.tomshardware.com/tech-industry/china-wants-us-semiconductor-companies-to-submit-sensitive-data-as-part-of-probe-anti-dumping-investigation-requests-sales-and-profit-data
    🇨🇳 “จีนเปิดฉากสอบสวน ‘แอนะล็อกชิป’ จากสหรัฐ – ขอข้อมูลลูกค้า กำไร และต้นทุนแบบละเอียด!” จีนกำลังดำเนินการสอบสวนการทุ่มตลาด (anti-dumping) ต่อชิปแอนะล็อกที่ผลิตในสหรัฐฯ โดยเฉพาะจากบริษัทอย่าง Texas Instruments และ Analog Devices ซึ่งเป็นผู้ผลิตรายใหญ่ในตลาดนี้ โดยกระทรวงพาณิชย์ของจีนได้ส่งแบบสอบถามไปยังบริษัทสหรัฐฯ เพื่อขอข้อมูลละเอียดเกี่ยวกับยอดขาย ต้นทุน กำไร และชื่อของลูกค้าในจีน แบบสอบถามนี้ครอบคลุมข้อมูลเชิงลึก เช่น ปริมาณการขาย ค่าขนส่ง ค่าคลังสินค้า และต้นทุนวัตถุดิบ โดยบริษัทมีเวลา 37 วันในการตอบกลับ ซึ่งถือเป็นการเคลื่อนไหวที่เกิดขึ้นในช่วงที่จีนและสหรัฐฯ กำลังเจรจาการค้าระดับสูง แม้จะไม่มีการระบุชื่อบริษัทอย่างเป็นทางการ แต่ลักษณะของชิปที่ถูกสอบสวน—เช่น commodity interface IC และ gate driver IC ที่ใช้เทคโนโลยี 40nm ขึ้นไป—ตรงกับผลิตภัณฑ์ของบริษัทสหรัฐฯ หลายราย การสอบสวนนี้เกิดขึ้นหลังจากจีนถูกสหรัฐฯ จำกัดการเข้าถึงชิป AI ระดับสูง และใช้การอนุญาตฮาร์ดแวร์ของ NVIDIA เป็นเครื่องมือในการเจรจา ทำให้จีนตอบโต้ด้วยการเปิดการสอบสวนด้านการทุ่มตลาดและการเลือกปฏิบัติ ✅ รายละเอียดการสอบสวนของจีน ➡️ กระทรวงพาณิชย์จีนส่งแบบสอบถามไปยังบริษัทสหรัฐฯ ➡️ ขอข้อมูลยอดขาย กำไร ต้นทุน และชื่อลูกค้าในจีน ➡️ ครอบคลุมข้อมูลเช่นค่าขนส่ง ค่าคลังสินค้า และวัตถุดิบ ➡️ บริษัทมีเวลา 37 วันในการตอบกลับ ➡️ สอบสวนชิปแอนะล็อก เช่น interface IC และ gate driver IC ✅ บริบททางการค้าและการตอบโต้ ➡️ เกิดขึ้นในช่วงเจรจาการค้าระหว่างจีน-สหรัฐฯ ➡️ สหรัฐฯ จำกัดการเข้าถึงชิป AI ระดับสูง ➡️ จีนตอบโต้ด้วยการสอบสวนการทุ่มตลาดและการเลือกปฏิบัติ ➡️ เป็นสัญญาณว่าจีนสามารถใช้มาตรการตอบโต้ทางการค้าได้ ➡️ การสอบสวนอาจนำไปสู่การจำกัดการนำเข้าชิปจากสหรัฐฯ https://www.tomshardware.com/tech-industry/china-wants-us-semiconductor-companies-to-submit-sensitive-data-as-part-of-probe-anti-dumping-investigation-requests-sales-and-profit-data
    WWW.TOMSHARDWARE.COM
    China wants US semiconductor companies to submit sensitive data as part of probe — 'anti-dumping' investigation requests sales and profit data
    No specific businesses have been named, but the probe seems designed to target Texas Instruments and Analog Devices.
    0 ความคิดเห็น 0 การแบ่งปัน 105 มุมมอง 0 รีวิว
  • “จีนโชว์นวัตกรรมชิปครั้งใหญ่ – เปิดตัวเครื่อง Lithography, EDA และวัสดุ EUV ฝีมือคนจีนล้วน!”

    ในงาน WeSemiBay Semiconductor Ecosystem Expo ที่เมืองเซินเจิ้น ประเทศจีน บริษัทจีนหลายแห่งได้เปิดตัวเทคโนโลยีใหม่ด้านการผลิตชิปที่น่าทึ่งมาก โดยมีเป้าหมายเพื่อผลักดันความสามารถในการผลิตชิปแบบพึ่งพาตนเองให้ได้เต็มรูปแบบ

    บริษัท Amies Technologies ซึ่งเป็นบริษัทลูกของ SMEE (Shanghai Micro Electronics Equipment) ได้เปิดตัวเครื่อง Lithography สำหรับสารกึ่งตัวนำแบบ compound เช่น GaAs, GaN และ InP รวมถึงระบบ laser annealing และเครื่องตรวจสอบ wafer ขั้นสูง โดย Amies เพิ่งก่อตั้งเมื่อต้นปี 2025 แต่สามารถส่งมอบเครื่อง Lithography ไปแล้วกว่า 500 เครื่อง

    อีกด้านหนึ่ง SiCarrier ซึ่งได้รับการสนับสนุนจาก Huawei และรัฐบาลจีน ได้เปิดตัวซอฟต์แวร์ออกแบบชิป (EDA tools) ที่พัฒนาเองทั้งหมด โดยอ้างว่าสามารถเพิ่มประสิทธิภาพการออกแบบได้ถึง 30% และลดเวลาในการพัฒนา hardware ลง 40% เมื่อเทียบกับเครื่องมือจาก Cadence, Synopsys และ Siemens

    ที่น่าตื่นเต้นที่สุดคือ Skyverse Technology ซึ่งเป็นบริษัทลูกของ SiCarrier ได้เปิดตัววัสดุ photoresist ที่สามารถใช้กับ EUV lithography ได้ แม้จีนจะยังไม่มีเครื่อง EUV จาก ASML ก็ตาม โดยวัสดุนี้ใช้เคมี tin-oxide metal-cluster และสามารถสร้างลวดลายระดับ 3nm–50nm ได้ ซึ่งใกล้เคียงกับวัสดุจาก JSR ที่ใช้ในระบบ EUV จริง

    นอกจากนี้ Long Sight ซึ่งเป็นอีกบริษัทลูกของ SiCarrier ก็เปิดตัวออสซิลโลสโคปแบบ real-time ที่ทำงานได้ถึง 90GHz ซึ่งสูงกว่ารุ่นก่อนหน้าของจีนถึง 5 เท่า และสามารถใช้วิเคราะห์สัญญาณในชิประดับ 3nm และ 5nm ได้

    นวัตกรรมจาก Amies Technologies
    เครื่อง Lithography สำหรับ GaAs, GaN, InP
    ระบบ laser annealing และ wafer inspection
    ส่งมอบเครื่องไปแล้วกว่า 500 เครื่องในปีแรก

    นวัตกรรมจาก SiCarrier
    ซอฟต์แวร์ EDA พัฒนาเองทั้งหมด
    เพิ่มประสิทธิภาพการออกแบบ 30%
    ลดเวลา hardware development 40%
    มีวิศวกรใช้งานแล้วกว่า 20,000 คน
    ความสามารถด้าน EDA ยังต่ำกว่า 10% ของการพึ่งพาตนเอง

    วัสดุ EUV จาก Skyverse Technology
    photoresist ใช้เคมี tin-oxide metal-cluster
    สร้างลวดลายระดับ 3nm–50nm
    แม้ไม่มีเครื่อง EUV แต่วัสดุพร้อมแล้ว
    มีการจดสิทธิบัตรหลายฉบับ
    รายชื่อผู้คิดค้นส่วนใหญ่ไม่เปิดเผย

    อุปกรณ์วิเคราะห์จาก Long Sight
    ออสซิลโลสโคป real-time 90GHz
    ใช้กับชิประดับ 3nm และ 5nm ได้
    เหมาะกับโรงงาน SMIC และ Huawei ในอนาคต

    https://www.tomshardware.com/tech-industry/semiconductors/chinese-companies-unveil-a-swathe-of-breakthrough-chipmaking-innovations-at-tradeshow-chipmaking-lithography-tools-software-design-tools-and-resists-all-on-display-as-the-nation-pursues-self-sufficiency
    🇨🇳 “จีนโชว์นวัตกรรมชิปครั้งใหญ่ – เปิดตัวเครื่อง Lithography, EDA และวัสดุ EUV ฝีมือคนจีนล้วน!” ในงาน WeSemiBay Semiconductor Ecosystem Expo ที่เมืองเซินเจิ้น ประเทศจีน บริษัทจีนหลายแห่งได้เปิดตัวเทคโนโลยีใหม่ด้านการผลิตชิปที่น่าทึ่งมาก โดยมีเป้าหมายเพื่อผลักดันความสามารถในการผลิตชิปแบบพึ่งพาตนเองให้ได้เต็มรูปแบบ บริษัท Amies Technologies ซึ่งเป็นบริษัทลูกของ SMEE (Shanghai Micro Electronics Equipment) ได้เปิดตัวเครื่อง Lithography สำหรับสารกึ่งตัวนำแบบ compound เช่น GaAs, GaN และ InP รวมถึงระบบ laser annealing และเครื่องตรวจสอบ wafer ขั้นสูง โดย Amies เพิ่งก่อตั้งเมื่อต้นปี 2025 แต่สามารถส่งมอบเครื่อง Lithography ไปแล้วกว่า 500 เครื่อง อีกด้านหนึ่ง SiCarrier ซึ่งได้รับการสนับสนุนจาก Huawei และรัฐบาลจีน ได้เปิดตัวซอฟต์แวร์ออกแบบชิป (EDA tools) ที่พัฒนาเองทั้งหมด โดยอ้างว่าสามารถเพิ่มประสิทธิภาพการออกแบบได้ถึง 30% และลดเวลาในการพัฒนา hardware ลง 40% เมื่อเทียบกับเครื่องมือจาก Cadence, Synopsys และ Siemens ที่น่าตื่นเต้นที่สุดคือ Skyverse Technology ซึ่งเป็นบริษัทลูกของ SiCarrier ได้เปิดตัววัสดุ photoresist ที่สามารถใช้กับ EUV lithography ได้ แม้จีนจะยังไม่มีเครื่อง EUV จาก ASML ก็ตาม โดยวัสดุนี้ใช้เคมี tin-oxide metal-cluster และสามารถสร้างลวดลายระดับ 3nm–50nm ได้ ซึ่งใกล้เคียงกับวัสดุจาก JSR ที่ใช้ในระบบ EUV จริง นอกจากนี้ Long Sight ซึ่งเป็นอีกบริษัทลูกของ SiCarrier ก็เปิดตัวออสซิลโลสโคปแบบ real-time ที่ทำงานได้ถึง 90GHz ซึ่งสูงกว่ารุ่นก่อนหน้าของจีนถึง 5 เท่า และสามารถใช้วิเคราะห์สัญญาณในชิประดับ 3nm และ 5nm ได้ ✅ นวัตกรรมจาก Amies Technologies ➡️ เครื่อง Lithography สำหรับ GaAs, GaN, InP ➡️ ระบบ laser annealing และ wafer inspection ➡️ ส่งมอบเครื่องไปแล้วกว่า 500 เครื่องในปีแรก ✅ นวัตกรรมจาก SiCarrier ➡️ ซอฟต์แวร์ EDA พัฒนาเองทั้งหมด ➡️ เพิ่มประสิทธิภาพการออกแบบ 30% ➡️ ลดเวลา hardware development 40% ➡️ มีวิศวกรใช้งานแล้วกว่า 20,000 คน ➡️ ความสามารถด้าน EDA ยังต่ำกว่า 10% ของการพึ่งพาตนเอง ✅ วัสดุ EUV จาก Skyverse Technology ➡️ photoresist ใช้เคมี tin-oxide metal-cluster ➡️ สร้างลวดลายระดับ 3nm–50nm ➡️ แม้ไม่มีเครื่อง EUV แต่วัสดุพร้อมแล้ว ➡️ มีการจดสิทธิบัตรหลายฉบับ ➡️ รายชื่อผู้คิดค้นส่วนใหญ่ไม่เปิดเผย ✅ อุปกรณ์วิเคราะห์จาก Long Sight ➡️ ออสซิลโลสโคป real-time 90GHz ➡️ ใช้กับชิประดับ 3nm และ 5nm ได้ ➡️ เหมาะกับโรงงาน SMIC และ Huawei ในอนาคต https://www.tomshardware.com/tech-industry/semiconductors/chinese-companies-unveil-a-swathe-of-breakthrough-chipmaking-innovations-at-tradeshow-chipmaking-lithography-tools-software-design-tools-and-resists-all-on-display-as-the-nation-pursues-self-sufficiency
    0 ความคิดเห็น 0 การแบ่งปัน 116 มุมมอง 0 รีวิว
  • “Stanford โชว์นวัตกรรม ‘ผ้าห่มเพชร’ ลดความร้อนทรานซิสเตอร์ได้ถึง 70°C – อนาคตของชิปยุค 1nm ใกล้เข้ามาแล้ว!”

    ทีมวิจัยจากมหาวิทยาลัย Stanford ได้พัฒนาเทคนิคใหม่ในการจัดการความร้อนของทรานซิสเตอร์ ด้วยการใช้ “เพชร” เป็นวัสดุห่อหุ้มชิปโดยตรง ซึ่งสามารถลดอุณหภูมิได้ถึง 70°C ในการทดสอบจริง และถึง 90% ในการจำลองการทำงาน ถือเป็นก้าวกระโดดครั้งใหญ่ในสงครามกับความร้อนของอุปกรณ์อิเล็กทรอนิกส์

    เทคนิคนี้เรียกว่า “Diamond Blanket” โดยใช้เพชรแบบ polycrystalline ที่มีเม็ดใหญ่พิเศษ เติบโตโดยตรงบนพื้นผิวของทรานซิสเตอร์ที่อุณหภูมิ 400°C ซึ่งถือว่าต่ำพอที่จะไม่ทำลายชิ้นส่วน CMOS ภายในชิป ต่างจากวิธีเดิมที่ต้องใช้ความร้อนสูงถึง 1,000°C

    ความลับของความสำเร็จอยู่ที่การเติมออกซิเจนในระดับสูงระหว่างการเติบโตของเพชร ซึ่งช่วยกำจัดคาร์บอนที่ไม่ใช่เพชรออกไป ทำให้ได้ผลึกเพชรที่นำความร้อนได้ดีมาก โดยเพชรชนิดนี้นำความร้อนได้มากกว่าทองแดงถึง 6 เท่า!

    เทคนิคนี้ไม่ใช่แค่แนวคิด เพราะ DARPA หน่วยงานวิจัยของกระทรวงกลาโหมสหรัฐฯ ได้ว่าจ้าง Raytheon ให้พัฒนาเทคโนโลยีนี้ตั้งแต่ปี 2024 และตอนนี้ Stanford ก็เตรียมนำไปใช้ร่วมกับบริษัทใหญ่อย่าง TSMC, Micron และ Samsung เพื่อผลักดันสู่การผลิตจริงภายในปี 2027

    นวัตกรรม Diamond Blanket จาก Stanford
    ใช้เพชรห่อหุ้มทรานซิสเตอร์โดยตรงเพื่อลดความร้อน
    ลดอุณหภูมิได้ถึง 70°C ในการทดสอบจริง และ 90% ในการจำลอง
    ใช้เพชรแบบ polycrystalline เม็ดใหญ่พิเศษ
    เติบโตที่อุณหภูมิ 400°C ซึ่งปลอดภัยต่อ CMOS
    เติมออกซิเจนเพื่อกำจัดคาร์บอนที่ไม่ใช่เพชร
    เพชรนำความร้อนได้มากกว่าทองแดงถึง 6 เท่า
    เหมาะกับชิปแบบ 3D ที่มีปัญหาความร้อนสะสมภายใน

    การสนับสนุนและแผนการนำไปใช้
    DARPA เคยว่าจ้าง Raytheon พัฒนาเทคโนโลยีนี้ในปี 2024
    Stanford เตรียมร่วมมือกับ TSMC, Micron และ Samsung
    คาดว่าจะเริ่มใช้งานจริงในอุตสาหกรรมภายในปี 2027
    อาจเป็นทางออกก่อนเข้าสู่ยุคหลังซิลิคอน

    https://www.tomshardware.com/tech-industry/semiconductors/diamond-blanket-transistor-cooling-method-sees-incredible-success-in-testing-growing-micrometer-scale-diamond-layer-directly-on-transistors-drops-temps-by-70-c
    💎 “Stanford โชว์นวัตกรรม ‘ผ้าห่มเพชร’ ลดความร้อนทรานซิสเตอร์ได้ถึง 70°C – อนาคตของชิปยุค 1nm ใกล้เข้ามาแล้ว!” ทีมวิจัยจากมหาวิทยาลัย Stanford ได้พัฒนาเทคนิคใหม่ในการจัดการความร้อนของทรานซิสเตอร์ ด้วยการใช้ “เพชร” เป็นวัสดุห่อหุ้มชิปโดยตรง ซึ่งสามารถลดอุณหภูมิได้ถึง 70°C ในการทดสอบจริง และถึง 90% ในการจำลองการทำงาน ถือเป็นก้าวกระโดดครั้งใหญ่ในสงครามกับความร้อนของอุปกรณ์อิเล็กทรอนิกส์ เทคนิคนี้เรียกว่า “Diamond Blanket” โดยใช้เพชรแบบ polycrystalline ที่มีเม็ดใหญ่พิเศษ เติบโตโดยตรงบนพื้นผิวของทรานซิสเตอร์ที่อุณหภูมิ 400°C ซึ่งถือว่าต่ำพอที่จะไม่ทำลายชิ้นส่วน CMOS ภายในชิป ต่างจากวิธีเดิมที่ต้องใช้ความร้อนสูงถึง 1,000°C ความลับของความสำเร็จอยู่ที่การเติมออกซิเจนในระดับสูงระหว่างการเติบโตของเพชร ซึ่งช่วยกำจัดคาร์บอนที่ไม่ใช่เพชรออกไป ทำให้ได้ผลึกเพชรที่นำความร้อนได้ดีมาก โดยเพชรชนิดนี้นำความร้อนได้มากกว่าทองแดงถึง 6 เท่า! เทคนิคนี้ไม่ใช่แค่แนวคิด เพราะ DARPA หน่วยงานวิจัยของกระทรวงกลาโหมสหรัฐฯ ได้ว่าจ้าง Raytheon ให้พัฒนาเทคโนโลยีนี้ตั้งแต่ปี 2024 และตอนนี้ Stanford ก็เตรียมนำไปใช้ร่วมกับบริษัทใหญ่อย่าง TSMC, Micron และ Samsung เพื่อผลักดันสู่การผลิตจริงภายในปี 2027 ✅ นวัตกรรม Diamond Blanket จาก Stanford ➡️ ใช้เพชรห่อหุ้มทรานซิสเตอร์โดยตรงเพื่อลดความร้อน ➡️ ลดอุณหภูมิได้ถึง 70°C ในการทดสอบจริง และ 90% ในการจำลอง ➡️ ใช้เพชรแบบ polycrystalline เม็ดใหญ่พิเศษ ➡️ เติบโตที่อุณหภูมิ 400°C ซึ่งปลอดภัยต่อ CMOS ➡️ เติมออกซิเจนเพื่อกำจัดคาร์บอนที่ไม่ใช่เพชร ➡️ เพชรนำความร้อนได้มากกว่าทองแดงถึง 6 เท่า ➡️ เหมาะกับชิปแบบ 3D ที่มีปัญหาความร้อนสะสมภายใน ✅ การสนับสนุนและแผนการนำไปใช้ ➡️ DARPA เคยว่าจ้าง Raytheon พัฒนาเทคโนโลยีนี้ในปี 2024 ➡️ Stanford เตรียมร่วมมือกับ TSMC, Micron และ Samsung ➡️ คาดว่าจะเริ่มใช้งานจริงในอุตสาหกรรมภายในปี 2027 ➡️ อาจเป็นทางออกก่อนเข้าสู่ยุคหลังซิลิคอน https://www.tomshardware.com/tech-industry/semiconductors/diamond-blanket-transistor-cooling-method-sees-incredible-success-in-testing-growing-micrometer-scale-diamond-layer-directly-on-transistors-drops-temps-by-70-c
    0 ความคิดเห็น 0 การแบ่งปัน 116 มุมมอง 0 รีวิว
  • “ByteDance จับมือ AMD, Intel, Arm และ Google สร้างมาตรฐานใหม่ให้เฟิร์มแวร์ – เปิดตัวโครงการ openSFI”

    ใครจะคิดว่าเจ้าของ TikTok อย่าง ByteDance จะมาร่วมวงกับยักษ์ใหญ่สายฮาร์ดแวร์อย่าง AMD, Intel, Arm และ Google ในการพัฒนาเฟิร์มแวร์ระดับล่างของระบบคอมพิวเตอร์ ล่าสุดพวกเขาร่วมกันเปิดตัวโครงการชื่อว่า “openSFI” (Open Silicon Firmware Interface) ซึ่งเป็นความพยายามครั้งใหญ่ในการสร้างมาตรฐานกลางสำหรับการสื่อสารระหว่างเฟิร์มแวร์กับชิป CPU

    openSFI มีเป้าหมายเพื่อให้เฟิร์มแวร์สามารถทำงานร่วมกับชิปจากผู้ผลิตต่าง ๆ ได้อย่างไร้รอยต่อ โดยไม่ต้องเขียนโค้ดใหม่ทุกครั้งที่เปลี่ยนแพลตฟอร์ม ซึ่งจะช่วยลดต้นทุน ลดเวลาในการพัฒนา และเพิ่มความยั่งยืนให้กับอุตสาหกรรม

    โครงการนี้ต่อยอดจากความพยายามของ AMD ที่ชื่อว่า openSIL ซึ่งเป็นเฟิร์มแวร์แบบโอเพ่นซอร์สที่มาแทน AGESA และของ Intel ที่ชื่อว่า FSP (Firmware Support Package) โดย openSFI จะเป็นเลเยอร์กลางที่นั่งอยู่เหนือ openSIL และ FSP เพื่อให้เฟิร์มแวร์เรียกใช้ฟังก์ชันต่าง ๆ ได้แบบมาตรฐานเดียว

    ที่น่าสนใจคือ ByteDance เป็นบริษัทจีนเพียงรายเดียวที่เข้าร่วมโครงการนี้ ท่ามกลางบริษัทตะวันตกยักษ์ใหญ่มากมาย เช่น Microsoft, HPE, MiTAC และ Google ซึ่งถือเป็นความร่วมมือข้ามชาติที่หาได้ยากในยุคที่เทคโนโลยีกลายเป็นเรื่องภูมิรัฐศาสตร์

    โครงการ openSFI คืออะไร
    เป็นมาตรฐานกลางสำหรับการเชื่อมต่อระหว่างเฟิร์มแวร์กับชิป CPU
    ช่วยให้เฟิร์มแวร์ทำงานร่วมกับชิปจากหลายค่ายได้ง่ายขึ้น
    ลดต้นทุนและเวลาในการพัฒนาเฟิร์มแวร์
    เพิ่มความสามารถในการนำกลับมาใช้ซ้ำ (reusability)
    ส่งเสริมความยั่งยืนในอุตสาหกรรมฮาร์ดแวร์

    ความร่วมมือระดับโลก
    นำโดย AMD, Intel, Arm, Google และ ByteDance
    ByteDance เป็นบริษัทจีนเพียงรายเดียวในโครงการ
    มีบริษัทอื่นร่วมด้วย เช่น Microsoft, HPE, MiTAC
    โครงการต่อยอดจาก AMD openSIL และ Intel FSP
    openSFI จะเป็นเลเยอร์กลางที่เชื่อมทั้งสองระบบเข้าด้วยกัน

    เป้าหมายของ openSFI
    สร้าง API ที่เสถียรและเป็นมาตรฐาน
    ให้ host firmware เรียกใช้ฟังก์ชันของชิปได้แบบไม่ขึ้นกับผู้ผลิต
    ลดความซ้ำซ้อนในการพัฒนาและการตรวจสอบระบบ
    สนับสนุนการพัฒนาเฟิร์มแวร์แบบโมดูลาร์และขยายได้

    https://www.techradar.com/pro/tiktok-owner-is-collaborating-with-amd-arm-and-intel-on-making-firmware-solutions-better-bytedance-is-the-only-chinese-company-participating-in-this-major-project
    🤝 “ByteDance จับมือ AMD, Intel, Arm และ Google สร้างมาตรฐานใหม่ให้เฟิร์มแวร์ – เปิดตัวโครงการ openSFI” ใครจะคิดว่าเจ้าของ TikTok อย่าง ByteDance จะมาร่วมวงกับยักษ์ใหญ่สายฮาร์ดแวร์อย่าง AMD, Intel, Arm และ Google ในการพัฒนาเฟิร์มแวร์ระดับล่างของระบบคอมพิวเตอร์ ล่าสุดพวกเขาร่วมกันเปิดตัวโครงการชื่อว่า “openSFI” (Open Silicon Firmware Interface) ซึ่งเป็นความพยายามครั้งใหญ่ในการสร้างมาตรฐานกลางสำหรับการสื่อสารระหว่างเฟิร์มแวร์กับชิป CPU openSFI มีเป้าหมายเพื่อให้เฟิร์มแวร์สามารถทำงานร่วมกับชิปจากผู้ผลิตต่าง ๆ ได้อย่างไร้รอยต่อ โดยไม่ต้องเขียนโค้ดใหม่ทุกครั้งที่เปลี่ยนแพลตฟอร์ม ซึ่งจะช่วยลดต้นทุน ลดเวลาในการพัฒนา และเพิ่มความยั่งยืนให้กับอุตสาหกรรม โครงการนี้ต่อยอดจากความพยายามของ AMD ที่ชื่อว่า openSIL ซึ่งเป็นเฟิร์มแวร์แบบโอเพ่นซอร์สที่มาแทน AGESA และของ Intel ที่ชื่อว่า FSP (Firmware Support Package) โดย openSFI จะเป็นเลเยอร์กลางที่นั่งอยู่เหนือ openSIL และ FSP เพื่อให้เฟิร์มแวร์เรียกใช้ฟังก์ชันต่าง ๆ ได้แบบมาตรฐานเดียว ที่น่าสนใจคือ ByteDance เป็นบริษัทจีนเพียงรายเดียวที่เข้าร่วมโครงการนี้ ท่ามกลางบริษัทตะวันตกยักษ์ใหญ่มากมาย เช่น Microsoft, HPE, MiTAC และ Google ซึ่งถือเป็นความร่วมมือข้ามชาติที่หาได้ยากในยุคที่เทคโนโลยีกลายเป็นเรื่องภูมิรัฐศาสตร์ ✅ โครงการ openSFI คืออะไร ➡️ เป็นมาตรฐานกลางสำหรับการเชื่อมต่อระหว่างเฟิร์มแวร์กับชิป CPU ➡️ ช่วยให้เฟิร์มแวร์ทำงานร่วมกับชิปจากหลายค่ายได้ง่ายขึ้น ➡️ ลดต้นทุนและเวลาในการพัฒนาเฟิร์มแวร์ ➡️ เพิ่มความสามารถในการนำกลับมาใช้ซ้ำ (reusability) ➡️ ส่งเสริมความยั่งยืนในอุตสาหกรรมฮาร์ดแวร์ ✅ ความร่วมมือระดับโลก ➡️ นำโดย AMD, Intel, Arm, Google และ ByteDance ➡️ ByteDance เป็นบริษัทจีนเพียงรายเดียวในโครงการ ➡️ มีบริษัทอื่นร่วมด้วย เช่น Microsoft, HPE, MiTAC ➡️ โครงการต่อยอดจาก AMD openSIL และ Intel FSP ➡️ openSFI จะเป็นเลเยอร์กลางที่เชื่อมทั้งสองระบบเข้าด้วยกัน ✅ เป้าหมายของ openSFI ➡️ สร้าง API ที่เสถียรและเป็นมาตรฐาน ➡️ ให้ host firmware เรียกใช้ฟังก์ชันของชิปได้แบบไม่ขึ้นกับผู้ผลิต ➡️ ลดความซ้ำซ้อนในการพัฒนาและการตรวจสอบระบบ ➡️ สนับสนุนการพัฒนาเฟิร์มแวร์แบบโมดูลาร์และขยายได้ https://www.techradar.com/pro/tiktok-owner-is-collaborating-with-amd-arm-and-intel-on-making-firmware-solutions-better-bytedance-is-the-only-chinese-company-participating-in-this-major-project
    WWW.TECHRADAR.COM
    Firmware wars take a new turn as openSFI promises to break vendor barriers
    OpenSFI layers above AMD’s openSIL and Intel’s FSP for unified function calls
    0 ความคิดเห็น 0 การแบ่งปัน 85 มุมมอง 0 รีวิว
  • “Alibaba ลดการใช้ GPU Nvidia ลง 82% ด้วยระบบ Aegaeon — เสิร์ฟ LLM ได้มากขึ้นด้วยทรัพยากรน้อยลง” — เมื่อการจัดสรร GPU แบบใหม่เปลี่ยนเกมการประมวลผล AI ในจีน

    Alibaba Cloud เปิดตัวระบบจัดสรร GPU ใหม่ชื่อว่า “Aegaeon” ซึ่งช่วยลดจำนวน GPU Nvidia ที่ต้องใช้ในการให้บริการโมเดลภาษาใหญ่ (LLM) ลงถึง 82% โดยผลการทดสอบในระบบ Model Studio Marketplace พบว่าเดิมต้องใช้ 1,192 GPU แต่หลังใช้ Aegaeon เหลือเพียง 213 ตัวเท่านั้น

    ระบบนี้ไม่เกี่ยวกับการฝึกโมเดล แต่เน้นช่วง inference — คือการให้โมเดลตอบคำถามหรือสร้างข้อความ โดย Aegaeon ใช้เทคนิค “token-level scheduling” ที่แบ่งงานออกเป็นชิ้นเล็ก ๆ แล้วกระจายไปยัง GPU หลายตัวแบบเสมือน ทำให้ GPU หนึ่งตัวสามารถให้บริการหลายโมเดลพร้อมกันได้

    ผลลัพธ์คือ “goodput” หรือประสิทธิภาพการใช้งานจริงเพิ่มขึ้นถึง 9 เท่าเมื่อเทียบกับระบบ serverless แบบเดิม เช่น ServerlessLLM และ MuxServe

    การทดสอบนี้ใช้ Nvidia H20 ซึ่งเป็นหนึ่งใน GPU ไม่กี่รุ่นที่ยังสามารถขายให้จีนได้ภายใต้ข้อจำกัดจากสหรัฐฯ โดย Alibaba ใช้เทคนิคสองอย่างหลัก ๆ:

    การบรรจุหลายโมเดลลงใน GPU เดียว
    การใช้ autoscaler ที่ปรับการจัดสรรทรัพยากรแบบเรียลไทม์ตามการสร้าง output

    แม้ผลลัพธ์จะน่าประทับใจ แต่ยังไม่ชัดเจนว่าระบบนี้จะใช้ได้ดีนอก Alibaba เพราะอาจต้องพึ่งโครงสร้างพื้นฐานเฉพาะ เช่น eRDMA network และ GPU stack ที่ Alibaba พัฒนาขึ้นเอง

    Alibaba ลดการใช้ GPU Nvidia ลง 82% ด้วยระบบ Aegaeon
    จาก 1,192 ตัวเหลือเพียง 213 ตัวในการให้บริการ LLM

    Aegaeon ใช้ token-level scheduling เพื่อแบ่งงานแบบละเอียด
    ทำให้ GPU หนึ่งตัวสามารถให้บริการหลายโมเดลพร้อมกัน

    ประสิทธิภาพการใช้งานจริง (goodput) เพิ่มขึ้นถึง 9 เท่า
    เมื่อเทียบกับระบบ serverless แบบเดิม

    ใช้ Nvidia H20 ซึ่งยังขายให้จีนได้ภายใต้ข้อจำกัด
    เป็นหนึ่งใน GPU ที่ยังถูกกฎหมายในตลาดจีน

    ใช้ autoscaler ที่จัดสรรทรัพยากรแบบเรียลไทม์
    ไม่ต้องจองทรัพยากรล่วงหน้าแบบเดิม

    ทดสอบในระบบ Model Studio Marketplace ของ Alibaba
    ใช้งานจริงหลายเดือน

    https://www.tomshardware.com/tech-industry/semiconductors/alibaba-says-new-pooling-system-cut-nvidia-gpu-use-by-82-percent
    ⚙️ “Alibaba ลดการใช้ GPU Nvidia ลง 82% ด้วยระบบ Aegaeon — เสิร์ฟ LLM ได้มากขึ้นด้วยทรัพยากรน้อยลง” — เมื่อการจัดสรร GPU แบบใหม่เปลี่ยนเกมการประมวลผล AI ในจีน Alibaba Cloud เปิดตัวระบบจัดสรร GPU ใหม่ชื่อว่า “Aegaeon” ซึ่งช่วยลดจำนวน GPU Nvidia ที่ต้องใช้ในการให้บริการโมเดลภาษาใหญ่ (LLM) ลงถึง 82% โดยผลการทดสอบในระบบ Model Studio Marketplace พบว่าเดิมต้องใช้ 1,192 GPU แต่หลังใช้ Aegaeon เหลือเพียง 213 ตัวเท่านั้น ระบบนี้ไม่เกี่ยวกับการฝึกโมเดล แต่เน้นช่วง inference — คือการให้โมเดลตอบคำถามหรือสร้างข้อความ โดย Aegaeon ใช้เทคนิค “token-level scheduling” ที่แบ่งงานออกเป็นชิ้นเล็ก ๆ แล้วกระจายไปยัง GPU หลายตัวแบบเสมือน ทำให้ GPU หนึ่งตัวสามารถให้บริการหลายโมเดลพร้อมกันได้ ผลลัพธ์คือ “goodput” หรือประสิทธิภาพการใช้งานจริงเพิ่มขึ้นถึง 9 เท่าเมื่อเทียบกับระบบ serverless แบบเดิม เช่น ServerlessLLM และ MuxServe การทดสอบนี้ใช้ Nvidia H20 ซึ่งเป็นหนึ่งใน GPU ไม่กี่รุ่นที่ยังสามารถขายให้จีนได้ภายใต้ข้อจำกัดจากสหรัฐฯ โดย Alibaba ใช้เทคนิคสองอย่างหลัก ๆ: 🎗️ การบรรจุหลายโมเดลลงใน GPU เดียว 🎗️ การใช้ autoscaler ที่ปรับการจัดสรรทรัพยากรแบบเรียลไทม์ตามการสร้าง output แม้ผลลัพธ์จะน่าประทับใจ แต่ยังไม่ชัดเจนว่าระบบนี้จะใช้ได้ดีนอก Alibaba เพราะอาจต้องพึ่งโครงสร้างพื้นฐานเฉพาะ เช่น eRDMA network และ GPU stack ที่ Alibaba พัฒนาขึ้นเอง ✅ Alibaba ลดการใช้ GPU Nvidia ลง 82% ด้วยระบบ Aegaeon ➡️ จาก 1,192 ตัวเหลือเพียง 213 ตัวในการให้บริการ LLM ✅ Aegaeon ใช้ token-level scheduling เพื่อแบ่งงานแบบละเอียด ➡️ ทำให้ GPU หนึ่งตัวสามารถให้บริการหลายโมเดลพร้อมกัน ✅ ประสิทธิภาพการใช้งานจริง (goodput) เพิ่มขึ้นถึง 9 เท่า ➡️ เมื่อเทียบกับระบบ serverless แบบเดิม ✅ ใช้ Nvidia H20 ซึ่งยังขายให้จีนได้ภายใต้ข้อจำกัด ➡️ เป็นหนึ่งใน GPU ที่ยังถูกกฎหมายในตลาดจีน ✅ ใช้ autoscaler ที่จัดสรรทรัพยากรแบบเรียลไทม์ ➡️ ไม่ต้องจองทรัพยากรล่วงหน้าแบบเดิม ✅ ทดสอบในระบบ Model Studio Marketplace ของ Alibaba ➡️ ใช้งานจริงหลายเดือน https://www.tomshardware.com/tech-industry/semiconductors/alibaba-says-new-pooling-system-cut-nvidia-gpu-use-by-82-percent
    WWW.TOMSHARDWARE.COM
    Alibaba Cloud says it cut Nvidia AI GPU use by 82% with new pooling system— up to 9x increase in output lets 213 GPUs perform like 1,192
    A paper presented at SOSP 2025 details how token-level scheduling helped one GPU serve multiple LLMs, reducing demand from 1,192 to 213 H20s.
    0 ความคิดเห็น 0 การแบ่งปัน 88 มุมมอง 0 รีวิว
  • "TSMC เปิดโรงงานในสหรัฐฯ ให้ชมผ่านวิดีโอ: เทคโนโลยีล้ำยุคใน Fab 21 ที่แอริโซนา"

    TSMC ผู้ผลิตชิปอันดับหนึ่งของโลกจากไต้หวัน ได้เผยแพร่วิดีโอหายากที่พาผู้ชมบินผ่านโรงงาน Fab 21 ในรัฐแอริโซนา สหรัฐอเมริกา ซึ่งกำลังผลิตชิปด้วยเทคโนโลยีระดับ 4nm และ 5nm (N4/N5) สำหรับบริษัทชั้นนำอย่าง Apple, AMD และ Nvidia

    วิดีโอแสดงให้เห็นระบบ “Silver Highway” หรือระบบขนส่งวัสดุอัตโนมัติ (AMHS) ที่ใช้รางเหนือศีรษะในการเคลื่อนย้าย FOUPs (Front-Opening Unified Pods) ซึ่งบรรจุเวเฟอร์ขนาด 300 มม. ไปยังเครื่องมือผลิตต่าง ๆ อย่างแม่นยำและรวดเร็ว

    จุดเด่นของโรงงานคือเครื่อง EUV Lithography จาก ASML รุ่น Twinscan NXE ที่ใช้แสงความยาวคลื่น 13.5nm จากพลาสมาทินในการ “พิมพ์” ลวดลายบนเวเฟอร์ด้วยความละเอียดระดับ 13nm ซึ่งเป็นหัวใจของการผลิตชิปยุคใหม่

    ไฮไลต์จากวิดีโอโรงงาน Fab 21
    แสดงระบบ Silver Highway สำหรับขนส่ง FOUPs อัตโนมัติ
    ใช้แสงสีเหลืองในห้อง cleanroom เพื่อป้องกันการเปิดรับแสงของ photoresist
    เครื่อง EUV จาก ASML ใช้ plasma จากหยดทินในการสร้างลวดลายบนเวเฟอร์

    เทคโนโลยีการผลิต
    ใช้กระบวนการ N4 และ N5 (4nm และ 5nm-class)
    เครื่อง Twinscan NXE:3600D มีความแม่นยำระดับ 1.1nm
    ใช้ระบบเลเซอร์ผลิตพลาสมาและกระจกสะท้อนพิเศษแทนเลนส์ทั่วไป

    แผนการขยายโรงงาน
    Fab 21 phase 2 จะรองรับการผลิตชิประดับ N3 และ N2
    TSMC เตรียมซื้อที่ดินเพิ่มเพื่อสร้าง Gigafab cluster ในแอริโซนา
    รองรับความต้องการด้าน AI, สมาร์ทโฟน และ HPC ที่เพิ่มขึ้น

    ความท้าทายของเทคโนโลยี EUV
    ต้องควบคุมความแม่นยำของการวางลวดลายในระดับนาโนเมตร
    มีผลกระทบจาก stochastic effects ที่อาจทำให้เกิดข้อผิดพลาด
    ต้องใช้กระจกพิเศษแทนเลนส์ เพราะแสง EUV ถูกดูดกลืนโดยวัสดุทั่วไป

    สาระเพิ่มเติมจากภายนอก:
    ความหมายของ Gigafab
    โรงงานที่สามารถผลิตเวเฟอร์ได้มากกว่า 100,000 แผ่นต่อเดือน
    เป็นระดับสูงสุดของโรงงานผลิตเซมิคอนดักเตอร์

    ความสำคัญของ Fab 21 ต่อสหรัฐฯ
    เป็นส่วนหนึ่งของยุทธศาสตร์ลดการพึ่งพาการผลิตจากเอเชีย
    สนับสนุนความมั่นคงด้านเทคโนโลยีและเศรษฐกิจของประเทศ

    https://www.tomshardware.com/tech-industry/semiconductors/tsmc-gives-an-ultra-rare-video-look-inside-its-fabs-silver-highway-and-fab-tools-revealed-in-flyby-video-of-companys-us-arizona-fab-21
    🏭 "TSMC เปิดโรงงานในสหรัฐฯ ให้ชมผ่านวิดีโอ: เทคโนโลยีล้ำยุคใน Fab 21 ที่แอริโซนา" TSMC ผู้ผลิตชิปอันดับหนึ่งของโลกจากไต้หวัน ได้เผยแพร่วิดีโอหายากที่พาผู้ชมบินผ่านโรงงาน Fab 21 ในรัฐแอริโซนา สหรัฐอเมริกา ซึ่งกำลังผลิตชิปด้วยเทคโนโลยีระดับ 4nm และ 5nm (N4/N5) สำหรับบริษัทชั้นนำอย่าง Apple, AMD และ Nvidia วิดีโอแสดงให้เห็นระบบ “Silver Highway” หรือระบบขนส่งวัสดุอัตโนมัติ (AMHS) ที่ใช้รางเหนือศีรษะในการเคลื่อนย้าย FOUPs (Front-Opening Unified Pods) ซึ่งบรรจุเวเฟอร์ขนาด 300 มม. ไปยังเครื่องมือผลิตต่าง ๆ อย่างแม่นยำและรวดเร็ว จุดเด่นของโรงงานคือเครื่อง EUV Lithography จาก ASML รุ่น Twinscan NXE ที่ใช้แสงความยาวคลื่น 13.5nm จากพลาสมาทินในการ “พิมพ์” ลวดลายบนเวเฟอร์ด้วยความละเอียดระดับ 13nm ซึ่งเป็นหัวใจของการผลิตชิปยุคใหม่ ✅ ไฮไลต์จากวิดีโอโรงงาน Fab 21 ➡️ แสดงระบบ Silver Highway สำหรับขนส่ง FOUPs อัตโนมัติ ➡️ ใช้แสงสีเหลืองในห้อง cleanroom เพื่อป้องกันการเปิดรับแสงของ photoresist ➡️ เครื่อง EUV จาก ASML ใช้ plasma จากหยดทินในการสร้างลวดลายบนเวเฟอร์ ✅ เทคโนโลยีการผลิต ➡️ ใช้กระบวนการ N4 และ N5 (4nm และ 5nm-class) ➡️ เครื่อง Twinscan NXE:3600D มีความแม่นยำระดับ 1.1nm ➡️ ใช้ระบบเลเซอร์ผลิตพลาสมาและกระจกสะท้อนพิเศษแทนเลนส์ทั่วไป ✅ แผนการขยายโรงงาน ➡️ Fab 21 phase 2 จะรองรับการผลิตชิประดับ N3 และ N2 ➡️ TSMC เตรียมซื้อที่ดินเพิ่มเพื่อสร้าง Gigafab cluster ในแอริโซนา ➡️ รองรับความต้องการด้าน AI, สมาร์ทโฟน และ HPC ที่เพิ่มขึ้น ‼️ ความท้าทายของเทคโนโลยี EUV ⛔ ต้องควบคุมความแม่นยำของการวางลวดลายในระดับนาโนเมตร ⛔ มีผลกระทบจาก stochastic effects ที่อาจทำให้เกิดข้อผิดพลาด ⛔ ต้องใช้กระจกพิเศษแทนเลนส์ เพราะแสง EUV ถูกดูดกลืนโดยวัสดุทั่วไป 📎 สาระเพิ่มเติมจากภายนอก: ✅ ความหมายของ Gigafab ➡️ โรงงานที่สามารถผลิตเวเฟอร์ได้มากกว่า 100,000 แผ่นต่อเดือน ➡️ เป็นระดับสูงสุดของโรงงานผลิตเซมิคอนดักเตอร์ ✅ ความสำคัญของ Fab 21 ต่อสหรัฐฯ ➡️ เป็นส่วนหนึ่งของยุทธศาสตร์ลดการพึ่งพาการผลิตจากเอเชีย ➡️ สนับสนุนความมั่นคงด้านเทคโนโลยีและเศรษฐกิจของประเทศ https://www.tomshardware.com/tech-industry/semiconductors/tsmc-gives-an-ultra-rare-video-look-inside-its-fabs-silver-highway-and-fab-tools-revealed-in-flyby-video-of-companys-us-arizona-fab-21
    0 ความคิดเห็น 0 การแบ่งปัน 176 มุมมอง 0 รีวิว
  • "เตือนภัย! ซิลิโคน SGT-4 กลิ่นเปรี้ยว กัดกร่อนทองแดง ติดแน่นจนถอดไม่ออก"

    ในโลกของการระบายความร้อนซีพียูที่ต้องใช้ thermal paste หรือซิลิโคนเพื่อถ่ายเทความร้อนจากชิปไปยังฮีตซิงก์ มีผลิตภัณฑ์หนึ่งที่กำลังถูกวิจารณ์อย่างหนัก—SGT-4 TIM จากเกาหลีใต้ ซึ่งแม้จะได้รับรีวิวดีในร้านค้าออนไลน์ แต่กลับมีคุณสมบัติทางเคมีที่เป็นอันตรายต่ออุปกรณ์อย่างรุนแรง

    จากการสืบสวนโดย Igor Wallossek พบว่า SGT-4 ปล่อยไอกรดที่มีกลิ่นคล้ายน้ำส้มสายชู ซึ่งสามารถกัดกร่อนทองแดง ทำให้เกิดรอย “pitting” หรือหลุมเล็ก ๆ บนพื้นผิว และที่แย่กว่านั้นคือมันทำให้ฮีตซิงก์ติดแน่นกับซีพียูจนถอดออกไม่ได้

    ซิลิโคนนี้ใช้สาร RTV ที่มีการบ่มด้วยกรดอะซิติก ซึ่งเมื่อสัมผัสความชื้นจะปล่อยกรดออกมา ทำให้เกิดปฏิกิริยาเคมีกับโลหะ โดยเฉพาะทองแดงที่ใช้ในฮีตซิงก์และฝาซีพียู ส่งผลให้ประสิทธิภาพการระบายความร้อนลดลงอย่างมาก

    แม้ผู้ผลิตจะอ้างว่าผลิตภัณฑ์ผ่านมาตรฐาน RoHS และ REACH แต่การกัดกร่อนโลหะและการปล่อยสารที่มีฤทธิ์ทางเคมีถือเป็นปัญหาที่ไม่ควรมองข้าม

    คุณสมบัติของ SGT-4 TIM
    เป็น thermal paste ราคาถูกจากเกาหลีใต้
    ได้รับรีวิวดีในร้านค้าออนไลน์
    ใช้สาร RTV ที่ปล่อยกรดอะซิติกเมื่อสัมผัสความชื้น

    ผลกระทบต่ออุปกรณ์
    กัดกร่อนทองแดง ทำให้เกิด pitting และรอยด่าง
    ทำให้ฮีตซิงก์ติดแน่นกับซีพียูจนถอดออกไม่ได้
    ลดประสิทธิภาพการระบายความร้อนจากการสร้างช่องว่างใหม่แทนที่จะเติมเต็ม

    คำเตือนจากการใช้งาน
    ไอกรดที่ปล่อยออกมามีกลิ่นคล้ายน้ำส้มสายชู
    อาจทำให้ซีพียูเสียหายถาวรจากการกัดกร่อน
    การถอดฮีตซิงก์อาจทำให้ซีพียูหลุดออกจากซ็อกเก็ตอย่างรุนแรง

    การตรวจสอบทางเคมี
    พบสาร methyltriacetoxysilane ซึ่งเป็นตัวบ่มที่ปล่อยกรด
    ไม่ใช่ซิลิโคนมาตรฐานแบบ PMDS ที่ใช้ทั่วไป
    การวิเคราะห์จากผู้ใช้และห้องแล็บยืนยันผลกระทบทางเคมี

    ปฏิกิริยาของผู้ผลิต
    ปฏิเสธข้อกล่าวหาและตอบโต้ด้วยการดูหมิ่นผู้วิจัย
    ไม่เปิดเผยส่วนประกอบของผลิตภัณฑ์
    อ้างมาตรฐานสิ่งแวดล้อมที่ไม่เกี่ยวข้องกับปฏิกิริยาเคมี

    สาระเพิ่มเติมจากภายนอก:
    ความเข้าใจเรื่อง RTV silicone
    RTV (Room Temperature Vulcanizing) เป็นซิลิโคนที่บ่มตัวเองเมื่อสัมผัสอากาศ
    มีหลายชนิด เช่น แบบบ่มด้วยกรด, แบบบ่มด้วยแอลกอฮอล์ ซึ่งมีผลต่อความปลอดภัยต่างกัน

    วิธีเลือก thermal paste อย่างปลอดภัย
    ควรเลือกแบรนด์ที่มีการทดสอบจากแหล่งที่เชื่อถือได้
    หลีกเลี่ยงผลิตภัณฑ์ที่ไม่เปิดเผยส่วนประกอบ
    ตรวจสอบรีวิวจากผู้ใช้จริงในชุมชนฮาร์ดแวร์

    https://www.tomshardware.com/pc-components/thermal-paste/stinky-thermal-paste-emits-acidic-vapors-corrodes-copper-glues-heatsinks-to-processors-and-permanently-damages-coolers-sgt-4-tim-is-a-chemically-reactive-blend-finds-investigation
    🧊 "เตือนภัย! ซิลิโคน SGT-4 กลิ่นเปรี้ยว กัดกร่อนทองแดง ติดแน่นจนถอดไม่ออก" ในโลกของการระบายความร้อนซีพียูที่ต้องใช้ thermal paste หรือซิลิโคนเพื่อถ่ายเทความร้อนจากชิปไปยังฮีตซิงก์ มีผลิตภัณฑ์หนึ่งที่กำลังถูกวิจารณ์อย่างหนัก—SGT-4 TIM จากเกาหลีใต้ ซึ่งแม้จะได้รับรีวิวดีในร้านค้าออนไลน์ แต่กลับมีคุณสมบัติทางเคมีที่เป็นอันตรายต่ออุปกรณ์อย่างรุนแรง จากการสืบสวนโดย Igor Wallossek พบว่า SGT-4 ปล่อยไอกรดที่มีกลิ่นคล้ายน้ำส้มสายชู ซึ่งสามารถกัดกร่อนทองแดง ทำให้เกิดรอย “pitting” หรือหลุมเล็ก ๆ บนพื้นผิว และที่แย่กว่านั้นคือมันทำให้ฮีตซิงก์ติดแน่นกับซีพียูจนถอดออกไม่ได้ ซิลิโคนนี้ใช้สาร RTV ที่มีการบ่มด้วยกรดอะซิติก ซึ่งเมื่อสัมผัสความชื้นจะปล่อยกรดออกมา ทำให้เกิดปฏิกิริยาเคมีกับโลหะ โดยเฉพาะทองแดงที่ใช้ในฮีตซิงก์และฝาซีพียู ส่งผลให้ประสิทธิภาพการระบายความร้อนลดลงอย่างมาก แม้ผู้ผลิตจะอ้างว่าผลิตภัณฑ์ผ่านมาตรฐาน RoHS และ REACH แต่การกัดกร่อนโลหะและการปล่อยสารที่มีฤทธิ์ทางเคมีถือเป็นปัญหาที่ไม่ควรมองข้าม ✅ คุณสมบัติของ SGT-4 TIM ➡️ เป็น thermal paste ราคาถูกจากเกาหลีใต้ ➡️ ได้รับรีวิวดีในร้านค้าออนไลน์ ➡️ ใช้สาร RTV ที่ปล่อยกรดอะซิติกเมื่อสัมผัสความชื้น ✅ ผลกระทบต่ออุปกรณ์ ➡️ กัดกร่อนทองแดง ทำให้เกิด pitting และรอยด่าง ➡️ ทำให้ฮีตซิงก์ติดแน่นกับซีพียูจนถอดออกไม่ได้ ➡️ ลดประสิทธิภาพการระบายความร้อนจากการสร้างช่องว่างใหม่แทนที่จะเติมเต็ม ‼️ คำเตือนจากการใช้งาน ⛔ ไอกรดที่ปล่อยออกมามีกลิ่นคล้ายน้ำส้มสายชู ⛔ อาจทำให้ซีพียูเสียหายถาวรจากการกัดกร่อน ⛔ การถอดฮีตซิงก์อาจทำให้ซีพียูหลุดออกจากซ็อกเก็ตอย่างรุนแรง ✅ การตรวจสอบทางเคมี ➡️ พบสาร methyltriacetoxysilane ซึ่งเป็นตัวบ่มที่ปล่อยกรด ➡️ ไม่ใช่ซิลิโคนมาตรฐานแบบ PMDS ที่ใช้ทั่วไป ➡️ การวิเคราะห์จากผู้ใช้และห้องแล็บยืนยันผลกระทบทางเคมี ‼️ ปฏิกิริยาของผู้ผลิต ⛔ ปฏิเสธข้อกล่าวหาและตอบโต้ด้วยการดูหมิ่นผู้วิจัย ⛔ ไม่เปิดเผยส่วนประกอบของผลิตภัณฑ์ ⛔ อ้างมาตรฐานสิ่งแวดล้อมที่ไม่เกี่ยวข้องกับปฏิกิริยาเคมี 📎 สาระเพิ่มเติมจากภายนอก: ✅ ความเข้าใจเรื่อง RTV silicone ➡️ RTV (Room Temperature Vulcanizing) เป็นซิลิโคนที่บ่มตัวเองเมื่อสัมผัสอากาศ ➡️ มีหลายชนิด เช่น แบบบ่มด้วยกรด, แบบบ่มด้วยแอลกอฮอล์ ซึ่งมีผลต่อความปลอดภัยต่างกัน ✅ วิธีเลือก thermal paste อย่างปลอดภัย ➡️ ควรเลือกแบรนด์ที่มีการทดสอบจากแหล่งที่เชื่อถือได้ ➡️ หลีกเลี่ยงผลิตภัณฑ์ที่ไม่เปิดเผยส่วนประกอบ ➡️ ตรวจสอบรีวิวจากผู้ใช้จริงในชุมชนฮาร์ดแวร์ https://www.tomshardware.com/pc-components/thermal-paste/stinky-thermal-paste-emits-acidic-vapors-corrodes-copper-glues-heatsinks-to-processors-and-permanently-damages-coolers-sgt-4-tim-is-a-chemically-reactive-blend-finds-investigation
    0 ความคิดเห็น 0 การแบ่งปัน 134 มุมมอง 0 รีวิว
  • “ช่องโหว่ NTLM แบบ Zero-Click กลับมาอีกครั้ง — LNK Bypass ใหม่หลบแพตช์เดิมได้สำเร็จ” — เมื่อการเปิดไฟล์ .lnk เพียงอย่างเดียวก็อาจทำให้ข้อมูลรับรองรั่วไหล

    เว็บไซต์ด้านความปลอดภัย SecurityOnline รายงานว่าช่องโหว่เก่าที่เกี่ยวข้องกับการรั่วไหลของข้อมูล NTLM (NT LAN Manager) ได้กลับมาอีกครั้งในรูปแบบใหม่ โดยใช้ไฟล์ลัด (.lnk) เป็นตัวกระตุ้นการเชื่อมต่อออกไปยังเซิร์ฟเวอร์ของผู้โจมตี โดยไม่ต้องให้เหยื่อคลิกหรือเปิดไฟล์แต่อย่างใด — จึงเรียกว่า “Zero-Click”

    แม้ Microsoft จะเคยออกแพตช์เพื่ออุดช่องโหว่นี้แล้ว แต่ผู้วิจัยด้านความปลอดภัยพบวิธีใหม่ในการ “หลบเลี่ยง” แพตช์ดังกล่าว โดยใช้เทคนิคที่เรียกว่า LNK Bypass ซึ่งสามารถฝังคำสั่งให้ระบบปฏิบัติการ Windows เชื่อมต่อออกไปยังเซิร์ฟเวอร์ภายนอกทันทีที่แสดงผลไอคอนของไฟล์ .lnk

    เมื่อระบบพยายามโหลดไอคอนจากตำแหน่งที่กำหนดไว้ในไฟล์ .lnk เช่น \\attacker[.]com\icon.ico มันจะส่งข้อมูล NTLM hash ของผู้ใช้ไปยังเซิร์ฟเวอร์นั้นโดยอัตโนมัติ ซึ่งสามารถนำไปใช้ในการโจมตีแบบ NTLM Relay หรือ brute-force เพื่อถอดรหัสรหัสผ่านได้

    นักวิจัยได้เผยแพร่โค้ด PoC (proof-of-concept) สำหรับการโจมตีนี้แล้ว และเตือนให้ผู้ใช้ระมัดระวังการเปิดไฟล์ .lnk ที่ได้รับจากแหล่งที่ไม่น่าเชื่อถือ

    ช่องโหว่ NTLM Leak แบบ Zero-Click กลับมาอีกครั้ง
    ใช้ไฟล์ .lnk เป็นตัวกระตุ้นการรั่วไหลของข้อมูล

    เทคนิคใหม่ชื่อ LNK Bypass สามารถหลบเลี่ยงแพตช์เดิมของ Microsoft ได้
    ทำให้ช่องโหว่กลับมาใช้งานได้อีกครั้ง

    การแสดงผลไอคอนของไฟล์ .lnk เพียงอย่างเดียวก็เพียงพอ
    ไม่ต้องคลิกหรือเปิดไฟล์

    ระบบจะส่ง NTLM hash ไปยังเซิร์ฟเวอร์ของผู้โจมตี
    ผ่านการโหลดไอคอนจาก UNC path ที่กำหนดไว้

    โค้ด PoC สำหรับการโจมตีนี้ถูกเผยแพร่แล้ว
    เพิ่มความเสี่ยงต่อการนำไปใช้โจมตีจริง

    https://securityonline.info/zero-click-ntlm-leak-returns-new-lnk-bypass-poc-available-bypasses-patch-exposing-credentials/
    🛡️ “ช่องโหว่ NTLM แบบ Zero-Click กลับมาอีกครั้ง — LNK Bypass ใหม่หลบแพตช์เดิมได้สำเร็จ” — เมื่อการเปิดไฟล์ .lnk เพียงอย่างเดียวก็อาจทำให้ข้อมูลรับรองรั่วไหล เว็บไซต์ด้านความปลอดภัย SecurityOnline รายงานว่าช่องโหว่เก่าที่เกี่ยวข้องกับการรั่วไหลของข้อมูล NTLM (NT LAN Manager) ได้กลับมาอีกครั้งในรูปแบบใหม่ โดยใช้ไฟล์ลัด (.lnk) เป็นตัวกระตุ้นการเชื่อมต่อออกไปยังเซิร์ฟเวอร์ของผู้โจมตี โดยไม่ต้องให้เหยื่อคลิกหรือเปิดไฟล์แต่อย่างใด — จึงเรียกว่า “Zero-Click” แม้ Microsoft จะเคยออกแพตช์เพื่ออุดช่องโหว่นี้แล้ว แต่ผู้วิจัยด้านความปลอดภัยพบวิธีใหม่ในการ “หลบเลี่ยง” แพตช์ดังกล่าว โดยใช้เทคนิคที่เรียกว่า LNK Bypass ซึ่งสามารถฝังคำสั่งให้ระบบปฏิบัติการ Windows เชื่อมต่อออกไปยังเซิร์ฟเวอร์ภายนอกทันทีที่แสดงผลไอคอนของไฟล์ .lnk เมื่อระบบพยายามโหลดไอคอนจากตำแหน่งที่กำหนดไว้ในไฟล์ .lnk เช่น \\attacker[.]com\icon.ico มันจะส่งข้อมูล NTLM hash ของผู้ใช้ไปยังเซิร์ฟเวอร์นั้นโดยอัตโนมัติ ซึ่งสามารถนำไปใช้ในการโจมตีแบบ NTLM Relay หรือ brute-force เพื่อถอดรหัสรหัสผ่านได้ นักวิจัยได้เผยแพร่โค้ด PoC (proof-of-concept) สำหรับการโจมตีนี้แล้ว และเตือนให้ผู้ใช้ระมัดระวังการเปิดไฟล์ .lnk ที่ได้รับจากแหล่งที่ไม่น่าเชื่อถือ ✅ ช่องโหว่ NTLM Leak แบบ Zero-Click กลับมาอีกครั้ง ➡️ ใช้ไฟล์ .lnk เป็นตัวกระตุ้นการรั่วไหลของข้อมูล ✅ เทคนิคใหม่ชื่อ LNK Bypass สามารถหลบเลี่ยงแพตช์เดิมของ Microsoft ได้ ➡️ ทำให้ช่องโหว่กลับมาใช้งานได้อีกครั้ง ✅ การแสดงผลไอคอนของไฟล์ .lnk เพียงอย่างเดียวก็เพียงพอ ➡️ ไม่ต้องคลิกหรือเปิดไฟล์ ✅ ระบบจะส่ง NTLM hash ไปยังเซิร์ฟเวอร์ของผู้โจมตี ➡️ ผ่านการโหลดไอคอนจาก UNC path ที่กำหนดไว้ ✅ โค้ด PoC สำหรับการโจมตีนี้ถูกเผยแพร่แล้ว ➡️ เพิ่มความเสี่ยงต่อการนำไปใช้โจมตีจริง https://securityonline.info/zero-click-ntlm-leak-returns-new-lnk-bypass-poc-available-bypasses-patch-exposing-credentials/
    SECURITYONLINE.INFO
    Zero-Click NTLM Leak Returns: New LNK Bypass (PoC Available) Bypasses Patch, Exposing Credentials
    A new Zero-Click NTLM leak bypasses Microsoft's LNK patch, allowing unauthenticated NTLM hash theft on patched systems. The PoC works by exploiting UNC paths and the default shell32.dll icon reference.
    0 ความคิดเห็น 0 การแบ่งปัน 114 มุมมอง 0 รีวิว
  • “NVIDIA และ TSMC ผลิตแผ่นเวเฟอร์ Blackwell ครั้งแรกในสหรัฐฯ — แต่ยังต้องส่งกลับไต้หวันเพื่อประกอบขั้นสุดท้าย”

    NVIDIA และ TSMC ประกาศความสำเร็จในการผลิตเวเฟอร์ Blackwell รุ่นแรกที่โรงงาน Fab 21 ในรัฐแอริโซนา สหรัฐอเมริกา โดยใช้กระบวนการผลิตแบบ 4N ซึ่งเป็นเทคโนโลยีระดับ 4 นาโนเมตรที่ปรับแต่งเฉพาะสำหรับ NVIDIA

    Jensen Huang ซีอีโอของ NVIDIA กล่าวว่านี่คือ “ช่วงเวลาประวัติศาสตร์” เพราะเป็นครั้งแรกในรอบหลายสิบปีที่ชิปสำคัญระดับโลกถูกผลิตในสหรัฐฯ ซึ่งสะท้อนถึงวิสัยทัศน์ของรัฐบาลสหรัฐฯ ในการนำอุตสาหกรรมกลับคืนสู่ประเทศผ่านนโยบาย reindustrialization และ CHIPS Act

    อย่างไรก็ตาม แม้เวเฟอร์จะผลิตในสหรัฐฯ แต่ขั้นตอนการประกอบขั้นสูง (advanced packaging) ยังต้องดำเนินการที่โรงงาน TSMC ในไต้หวัน โดยใช้เทคโนโลยี CoWoS-L เพื่อเชื่อมต่อกับหน่วยความจำ HBM3E ซึ่งทำให้ชิป Blackwell B300 ที่เสร็จสมบูรณ์ยังต้องพึ่งพาการผลิตนอกประเทศ

    การผลิตในสหรัฐฯ มีความสำคัญเชิงยุทธศาสตร์ เพราะช่วยลดความเสี่ยงจากความตึงเครียดทางภูมิรัฐศาสตร์ และอาจหลีกเลี่ยงภาษีนำเข้าหากมีการบังคับใช้ในอนาคต

    TSMC และ Amkor กำลังสร้างโรงงานประกอบขั้นสูงในสหรัฐฯ ซึ่งคาดว่าจะเปิดใช้งานได้ภายในสิ้นทศวรรษนี้ เช่นเดียวกับ Micron และ SK hynix ที่กำลังลงทุนในการผลิต DRAM และ HBM packaging ในสหรัฐฯ เพื่อเสริมความมั่นคงของ supply chain

    NVIDIA และ TSMC ผลิตเวเฟอร์ Blackwell รุ่นแรกในสหรัฐฯ ที่โรงงาน Fab 21
    ใช้กระบวนการผลิต 4N ที่ปรับแต่งเฉพาะสำหรับ NVIDIA

    Jensen Huang ระบุว่าเป็น “ช่วงเวลาประวัติศาสตร์” สำหรับอุตสาหกรรมสหรัฐฯ
    สะท้อนนโยบาย reindustrialization และ CHIPS Act

    เวเฟอร์ต้องส่งกลับไต้หวันเพื่อประกอบขั้นสูงด้วย CoWoS-L และ HBM3E
    ทำให้ชิปที่เสร็จสมบูรณ์ยังต้องพึ่งพาการผลิตนอกประเทศ

    การผลิตในสหรัฐฯ ช่วยลดความเสี่ยงทางภูมิรัฐศาสตร์
    และอาจหลีกเลี่ยงภาษีนำเข้าหากมีการบังคับใช้

    TSMC และ Amkor กำลังสร้างโรงงานประกอบขั้นสูงในสหรัฐฯ
    คาดว่าจะเปิดใช้งานได้ภายในสิ้นทศวรรษ

    Micron และ SK hynix ลงทุนใน DRAM และ HBM packaging ในสหรัฐฯ
    เสริมความมั่นคงของ supply chain ด้านหน่วยความจำ

    https://www.tomshardware.com/tech-industry/semiconductors/nvidia-and-tsmc-produce-the-first-blackwell-wafer-made-in-the-u-s-chips-still-need-to-be-shipped-back-to-taiwan-to-complete-the-final-product
    🇺🇸 “NVIDIA และ TSMC ผลิตแผ่นเวเฟอร์ Blackwell ครั้งแรกในสหรัฐฯ — แต่ยังต้องส่งกลับไต้หวันเพื่อประกอบขั้นสุดท้าย” NVIDIA และ TSMC ประกาศความสำเร็จในการผลิตเวเฟอร์ Blackwell รุ่นแรกที่โรงงาน Fab 21 ในรัฐแอริโซนา สหรัฐอเมริกา โดยใช้กระบวนการผลิตแบบ 4N ซึ่งเป็นเทคโนโลยีระดับ 4 นาโนเมตรที่ปรับแต่งเฉพาะสำหรับ NVIDIA Jensen Huang ซีอีโอของ NVIDIA กล่าวว่านี่คือ “ช่วงเวลาประวัติศาสตร์” เพราะเป็นครั้งแรกในรอบหลายสิบปีที่ชิปสำคัญระดับโลกถูกผลิตในสหรัฐฯ ซึ่งสะท้อนถึงวิสัยทัศน์ของรัฐบาลสหรัฐฯ ในการนำอุตสาหกรรมกลับคืนสู่ประเทศผ่านนโยบาย reindustrialization และ CHIPS Act อย่างไรก็ตาม แม้เวเฟอร์จะผลิตในสหรัฐฯ แต่ขั้นตอนการประกอบขั้นสูง (advanced packaging) ยังต้องดำเนินการที่โรงงาน TSMC ในไต้หวัน โดยใช้เทคโนโลยี CoWoS-L เพื่อเชื่อมต่อกับหน่วยความจำ HBM3E ซึ่งทำให้ชิป Blackwell B300 ที่เสร็จสมบูรณ์ยังต้องพึ่งพาการผลิตนอกประเทศ การผลิตในสหรัฐฯ มีความสำคัญเชิงยุทธศาสตร์ เพราะช่วยลดความเสี่ยงจากความตึงเครียดทางภูมิรัฐศาสตร์ และอาจหลีกเลี่ยงภาษีนำเข้าหากมีการบังคับใช้ในอนาคต TSMC และ Amkor กำลังสร้างโรงงานประกอบขั้นสูงในสหรัฐฯ ซึ่งคาดว่าจะเปิดใช้งานได้ภายในสิ้นทศวรรษนี้ เช่นเดียวกับ Micron และ SK hynix ที่กำลังลงทุนในการผลิต DRAM และ HBM packaging ในสหรัฐฯ เพื่อเสริมความมั่นคงของ supply chain ✅ NVIDIA และ TSMC ผลิตเวเฟอร์ Blackwell รุ่นแรกในสหรัฐฯ ที่โรงงาน Fab 21 ➡️ ใช้กระบวนการผลิต 4N ที่ปรับแต่งเฉพาะสำหรับ NVIDIA ✅ Jensen Huang ระบุว่าเป็น “ช่วงเวลาประวัติศาสตร์” สำหรับอุตสาหกรรมสหรัฐฯ ➡️ สะท้อนนโยบาย reindustrialization และ CHIPS Act ✅ เวเฟอร์ต้องส่งกลับไต้หวันเพื่อประกอบขั้นสูงด้วย CoWoS-L และ HBM3E ➡️ ทำให้ชิปที่เสร็จสมบูรณ์ยังต้องพึ่งพาการผลิตนอกประเทศ ✅ การผลิตในสหรัฐฯ ช่วยลดความเสี่ยงทางภูมิรัฐศาสตร์ ➡️ และอาจหลีกเลี่ยงภาษีนำเข้าหากมีการบังคับใช้ ✅ TSMC และ Amkor กำลังสร้างโรงงานประกอบขั้นสูงในสหรัฐฯ ➡️ คาดว่าจะเปิดใช้งานได้ภายในสิ้นทศวรรษ ✅ Micron และ SK hynix ลงทุนใน DRAM และ HBM packaging ในสหรัฐฯ ➡️ เสริมความมั่นคงของ supply chain ด้านหน่วยความจำ https://www.tomshardware.com/tech-industry/semiconductors/nvidia-and-tsmc-produce-the-first-blackwell-wafer-made-in-the-u-s-chips-still-need-to-be-shipped-back-to-taiwan-to-complete-the-final-product
    0 ความคิดเห็น 0 การแบ่งปัน 191 มุมมอง 0 รีวิว
  • “Synopsys เปิดตัว LPDDR6 IP บนเทคโนโลยี TSMC N2P — แบนด์วิดท์พุ่งแตะ 86 GB/s”

    Synopsys ประกาศความสำเร็จในการ “bring-up” หรือเปิดใช้งานซิลิคอนจริงของ IP หน่วยความจำ LPDDR6 บนเทคโนโลยีการผลิตระดับ 2 นาโนเมตร N2P ของ TSMC ซึ่งถือเป็นก้าวสำคัญในการพัฒนา IP ที่พร้อมให้ลูกค้านำไปใช้งานจริง

    LPDDR6 IP ดังกล่าวประกอบด้วยสองส่วนหลัก: ตัวควบคุม (controller) และอินเทอร์เฟซ PHY โดยตัวควบคุมรองรับโปรโตคอล JEDEC, การควบคุมเวลา (timing control) และโหมดประหยัดพลังงาน ส่วน PHY ถูกสร้างขึ้นบนวงจรอนาล็อกและ I/O ของ N2P พร้อมใช้ metal stack และไลบรารีเฉพาะของ N2P

    Synopsys ระบุว่า IP นี้สามารถทำความเร็วได้ถึง 86 GB/s ซึ่งใกล้เคียงกับมาตรฐาน JEDEC ที่ 10.667 Gb/s ต่อ pin โดยมีเป้าหมายสูงสุดที่ 14.4 Gb/s ต่อ pin หรือราว 115 GB/s เมื่อรวมทุกช่องสัญญาณ

    ข้อได้เปรียบของการใช้ N2P คือประสิทธิภาพด้านพลังงาน (PPA) ที่ดีขึ้น ทำให้หน่วยความจำใช้พลังงานต่อบิตน้อยลง และมีขนาดเล็กลง เหมาะสำหรับอุปกรณ์ที่ต้องการประสิทธิภาพสูงแต่ประหยัดพลังงาน เช่น AI บนอุปกรณ์ (on-device AI) และแพลตฟอร์มพกพา

    Synopsys คาดว่า LPDDR6 จะกลายเป็นมาตรฐานหลักในปีหน้า โดยมีผู้ผลิตชิปและอุปกรณ์หลายรายเตรียมนำไปใช้งาน

    Synopsys เปิดตัว LPDDR6 IP ที่ผ่านการ bring-up บนเทคโนโลยี TSMC N2P
    หมายถึงการเปิดใช้งานซิลิคอนจริงสำเร็จ

    IP ประกอบด้วย controller และ PHY interface
    รองรับโปรโตคอล JEDEC และโหมดประหยัดพลังงาน

    ความเร็วสูงสุดที่ทำได้คือ 86 GB/s
    ใกล้เคียงกับมาตรฐาน JEDEC ที่ 10.667 Gb/s ต่อ pin

    ใช้เทคโนโลยี N2P ของ TSMC ที่มี PPA สูง
    ช่วยลดพลังงานต่อบิตและขนาดของชิป

    เหมาะสำหรับ on-device AI และแพลตฟอร์มประหยัดพลังงาน
    เช่น สมาร์ตโฟน, แท็บเล็ต, หรืออุปกรณ์ edge AI

    LPDDR6 คาดว่าจะกลายเป็นมาตรฐานหลักในปี 2026
    ผู้ผลิตชิปหลายรายเตรียมนำไปใช้งาน

    https://wccftech.com/synopsys-unveils-silicon-bring-up-of-lpddr6-ip-on-tsmc-n2p-node/
    ⚙️ “Synopsys เปิดตัว LPDDR6 IP บนเทคโนโลยี TSMC N2P — แบนด์วิดท์พุ่งแตะ 86 GB/s” Synopsys ประกาศความสำเร็จในการ “bring-up” หรือเปิดใช้งานซิลิคอนจริงของ IP หน่วยความจำ LPDDR6 บนเทคโนโลยีการผลิตระดับ 2 นาโนเมตร N2P ของ TSMC ซึ่งถือเป็นก้าวสำคัญในการพัฒนา IP ที่พร้อมให้ลูกค้านำไปใช้งานจริง LPDDR6 IP ดังกล่าวประกอบด้วยสองส่วนหลัก: ตัวควบคุม (controller) และอินเทอร์เฟซ PHY โดยตัวควบคุมรองรับโปรโตคอล JEDEC, การควบคุมเวลา (timing control) และโหมดประหยัดพลังงาน ส่วน PHY ถูกสร้างขึ้นบนวงจรอนาล็อกและ I/O ของ N2P พร้อมใช้ metal stack และไลบรารีเฉพาะของ N2P Synopsys ระบุว่า IP นี้สามารถทำความเร็วได้ถึง 86 GB/s ซึ่งใกล้เคียงกับมาตรฐาน JEDEC ที่ 10.667 Gb/s ต่อ pin โดยมีเป้าหมายสูงสุดที่ 14.4 Gb/s ต่อ pin หรือราว 115 GB/s เมื่อรวมทุกช่องสัญญาณ ข้อได้เปรียบของการใช้ N2P คือประสิทธิภาพด้านพลังงาน (PPA) ที่ดีขึ้น ทำให้หน่วยความจำใช้พลังงานต่อบิตน้อยลง และมีขนาดเล็กลง เหมาะสำหรับอุปกรณ์ที่ต้องการประสิทธิภาพสูงแต่ประหยัดพลังงาน เช่น AI บนอุปกรณ์ (on-device AI) และแพลตฟอร์มพกพา Synopsys คาดว่า LPDDR6 จะกลายเป็นมาตรฐานหลักในปีหน้า โดยมีผู้ผลิตชิปและอุปกรณ์หลายรายเตรียมนำไปใช้งาน ✅ Synopsys เปิดตัว LPDDR6 IP ที่ผ่านการ bring-up บนเทคโนโลยี TSMC N2P ➡️ หมายถึงการเปิดใช้งานซิลิคอนจริงสำเร็จ ✅ IP ประกอบด้วย controller และ PHY interface ➡️ รองรับโปรโตคอล JEDEC และโหมดประหยัดพลังงาน ✅ ความเร็วสูงสุดที่ทำได้คือ 86 GB/s ➡️ ใกล้เคียงกับมาตรฐาน JEDEC ที่ 10.667 Gb/s ต่อ pin ✅ ใช้เทคโนโลยี N2P ของ TSMC ที่มี PPA สูง ➡️ ช่วยลดพลังงานต่อบิตและขนาดของชิป ✅ เหมาะสำหรับ on-device AI และแพลตฟอร์มประหยัดพลังงาน ➡️ เช่น สมาร์ตโฟน, แท็บเล็ต, หรืออุปกรณ์ edge AI ✅ LPDDR6 คาดว่าจะกลายเป็นมาตรฐานหลักในปี 2026 ➡️ ผู้ผลิตชิปหลายรายเตรียมนำไปใช้งาน https://wccftech.com/synopsys-unveils-silicon-bring-up-of-lpddr6-ip-on-tsmc-n2p-node/
    WCCFTECH.COM
    Synopsys Unveils 'Silicon Bring-Up' of LPDDR6 IP On TSMC's Cutting-Edge N2P Node, Reaching Bandwidth Up to a Whopping 86 GB/s
    Synopsys has unveiled a massive development in the realm of mobile memory, as it announced the silicon bring-up of its LPDDR6 IP.
    0 ความคิดเห็น 0 การแบ่งปัน 147 มุมมอง 0 รีวิว
  • “NVIDIA สูญตลาดจีน 100% — Jensen Huang เผย ‘จาก 95% เหลือ 0%’”

    Jensen Huang ซีอีโอของ NVIDIA กล่าวในงาน Citadel Securities Future Of Global Markets 2025 ว่าบริษัทของเขา “ไม่มีส่วนแบ่งตลาดในจีนอีกต่อไป” โดยระบุว่า NVIDIA เคยครองตลาด AI ของจีนถึง 95% แต่ตอนนี้เหลือ 0% และ “ในทุกการคาดการณ์ของเรา เราตั้งค่าจีนเป็นศูนย์”

    เหตุผลหลักคือจีนกำลังเปลี่ยนไปใช้เทคโนโลยี AI ที่พัฒนาเองภายในประเทศ โดยไม่พึ่งพา NVIDIA อีกต่อไป ซึ่งเป็นผลจากข้อจำกัดด้านการส่งออกของสหรัฐฯ ที่ไม่อนุญาตให้ขายชิป AI ระดับสูงให้กับจีน โดยเฉพาะรุ่นใหม่อย่าง Blackwell B100 หรือ B200

    แม้ NVIDIA จะพยายามเสนอชิปรุ่นลดสเปก เช่น Hopper หรือ Blackwell B40 แต่ก็ยังไม่สามารถตอบโจทย์ความต้องการของบริษัทเทคโนโลยีจีนได้ โดยเฉพาะเมื่อคู่แข่งอย่าง Huawei และ Cambricon กำลังเร่งพัฒนา AI chip ของตัวเองอย่างจริงจัง

    Jensen ยอมรับว่า “หากมีอะไรเกิดขึ้นในจีน ก็ถือเป็นโบนัส” และมองว่าการกลับเข้าสู่ตลาดจีนจะเป็นเรื่องยากมากในอนาคต เพราะต้องผ่านการอนุมัติจากทั้งสองประเทศ และเผชิญกับการแข่งขันภายในที่รุนแรงขึ้นเรื่อย ๆ

    Jensen Huang ระบุว่า NVIDIA สูญเสียตลาดจีนทั้งหมด
    จาก 95% เหลือ 0% ในการคาดการณ์ของบริษัท

    เหตุผลหลักคือจีนหันไปใช้เทคโนโลยี AI ที่พัฒนาเอง
    เช่น Huawei และ Cambricon ที่มี roadmap ชิป AI ของตัวเอง

    สหรัฐฯ จำกัดการส่งออกชิป AI ระดับสูงไปยังจีน
    เช่น Blackwell B100/B200 ไม่สามารถขายให้ได้

    NVIDIA เสนอชิปรุ่นลดสเปก เช่น Hopper และ B40
    แต่ยังไม่ตอบโจทย์การแข่งขันในจีน

    การกลับเข้าสู่ตลาดจีนต้องผ่านการอนุมัติจากทั้งสองประเทศ
    และเผชิญกับการแข่งขันภายในที่รุนแรง

    https://wccftech.com/our-market-share-dropped-from-95-to-0-in-china-says-nvidia-ceo/
    🇨🇳 “NVIDIA สูญตลาดจีน 100% — Jensen Huang เผย ‘จาก 95% เหลือ 0%’” Jensen Huang ซีอีโอของ NVIDIA กล่าวในงาน Citadel Securities Future Of Global Markets 2025 ว่าบริษัทของเขา “ไม่มีส่วนแบ่งตลาดในจีนอีกต่อไป” โดยระบุว่า NVIDIA เคยครองตลาด AI ของจีนถึง 95% แต่ตอนนี้เหลือ 0% และ “ในทุกการคาดการณ์ของเรา เราตั้งค่าจีนเป็นศูนย์” เหตุผลหลักคือจีนกำลังเปลี่ยนไปใช้เทคโนโลยี AI ที่พัฒนาเองภายในประเทศ โดยไม่พึ่งพา NVIDIA อีกต่อไป ซึ่งเป็นผลจากข้อจำกัดด้านการส่งออกของสหรัฐฯ ที่ไม่อนุญาตให้ขายชิป AI ระดับสูงให้กับจีน โดยเฉพาะรุ่นใหม่อย่าง Blackwell B100 หรือ B200 แม้ NVIDIA จะพยายามเสนอชิปรุ่นลดสเปก เช่น Hopper หรือ Blackwell B40 แต่ก็ยังไม่สามารถตอบโจทย์ความต้องการของบริษัทเทคโนโลยีจีนได้ โดยเฉพาะเมื่อคู่แข่งอย่าง Huawei และ Cambricon กำลังเร่งพัฒนา AI chip ของตัวเองอย่างจริงจัง Jensen ยอมรับว่า “หากมีอะไรเกิดขึ้นในจีน ก็ถือเป็นโบนัส” และมองว่าการกลับเข้าสู่ตลาดจีนจะเป็นเรื่องยากมากในอนาคต เพราะต้องผ่านการอนุมัติจากทั้งสองประเทศ และเผชิญกับการแข่งขันภายในที่รุนแรงขึ้นเรื่อย ๆ ✅ Jensen Huang ระบุว่า NVIDIA สูญเสียตลาดจีนทั้งหมด ➡️ จาก 95% เหลือ 0% ในการคาดการณ์ของบริษัท ✅ เหตุผลหลักคือจีนหันไปใช้เทคโนโลยี AI ที่พัฒนาเอง ➡️ เช่น Huawei และ Cambricon ที่มี roadmap ชิป AI ของตัวเอง ✅ สหรัฐฯ จำกัดการส่งออกชิป AI ระดับสูงไปยังจีน ➡️ เช่น Blackwell B100/B200 ไม่สามารถขายให้ได้ ✅ NVIDIA เสนอชิปรุ่นลดสเปก เช่น Hopper และ B40 ➡️ แต่ยังไม่ตอบโจทย์การแข่งขันในจีน ✅ การกลับเข้าสู่ตลาดจีนต้องผ่านการอนุมัติจากทั้งสองประเทศ ➡️ และเผชิญกับการแข่งขันภายในที่รุนแรง https://wccftech.com/our-market-share-dropped-from-95-to-0-in-china-says-nvidia-ceo/
    WCCFTECH.COM
    “Our Market Share Dropped From 95% to 0%,” Says NVIDIA CEO Jensen Huang in a ‘Temporary Goodbye’ to China’s AI Market
    NVIDIA's CEO has once again commented on the firm's 'desperate' position in China, claiming that its market share has now plunged to 0%.
    0 ความคิดเห็น 0 การแบ่งปัน 153 มุมมอง 0 รีวิว
  • “Phison E28 เปิดศึก SSD เจน 2 — TeamGroup Z54E นำทัพ PCIe 5.0 ความเร็วทะลุ 14.9 GB/s”

    TeamGroup เปิดตัว T-Force Z54E SSD รุ่นใหม่ล่าสุดที่ใช้คอนโทรลเลอร์ Phison E28 ซึ่งเป็นเจเนอเรชันที่สองของ PCIe 5.0 SSD โดยมีความเร็วในการอ่านข้อมูลสูงสุดถึง 14,900 MB/s และเขียนได้ถึง 14,000 MB/s ในรุ่น 2TB และ 4TB ส่วนรุ่น 1TB เขียนได้ 13,700 MB/s

    Phison E28 ผลิตด้วยเทคโนโลยี 6nm จาก TSMC และเป็นคำตอบของ Phison ต่อคู่แข่งอย่าง Silicon Motion SM2508 โดยมุ่งเน้นทั้งประสิทธิภาพและการใช้พลังงานที่ดีขึ้น

    แม้ TeamGroup จะไม่เปิดเผยชนิดของ NAND ที่ใช้ แต่คาดว่าเป็น 3D TLC NAND แบบ 232 ชั้น จาก Micron หรือ YMTC โดย SSD รุ่นนี้ยังมาพร้อม DRAM cache (ขนาดไม่ระบุ) และฮีตซิงก์กราฟีนแบบบางพิเศษเพื่อช่วยระบายความร้อน โดยไม่ใช้พัดลม

    ด้านความทนทาน (endurance) ก็ถือว่าอยู่ในระดับดี โดยรุ่น 1TB รองรับการเขียนข้อมูลได้ 600 TBW และเพิ่มขึ้นเป็น 1,200 TBW และ 2,400 TBW สำหรับรุ่น 2TB และ 4TB ตามลำดับ

    แม้ยังไม่เปิดเผยราคาและวันวางจำหน่ายอย่างเป็นทางการ แต่ TeamGroup ระบุว่าจะมีข้อมูลเพิ่มเติมเร็ว ๆ นี้

    TeamGroup เปิดตัว SSD รุ่น T-Force Z54E
    ใช้คอนโทรลเลอร์ Phison E28 รุ่นใหม่ล่าสุด

    ความเร็วสูงสุด: อ่าน 14,900 MB/s, เขียน 14,000 MB/s
    รุ่น 1TB เขียนได้ 13,700 MB/s

    ใช้เทคโนโลยี 6nm จาก TSMC
    เพิ่มประสิทธิภาพและลดการใช้พลังงาน

    คาดว่าใช้ NAND แบบ 3D TLC 232 ชั้น
    อาจมาจาก Micron หรือ YMTC

    มี DRAM cache (ขนาดไม่ระบุ)
    ช่วยเพิ่มประสิทธิภาพการเข้าถึงข้อมูล

    ฮีตซิงก์กราฟีนแบบบางพิเศษ
    ไม่มีพัดลม แต่รองรับการระบายความร้อนสูงสุด 7W

    ความทนทาน: 600–2,400 TBW ตามความจุ
    เทียบเท่าคู่แข่งอย่าง WD Black SN8100 และ Samsung 9100 Pro

    รับประกัน 5 ปี
    ยังไม่เปิดเผยราคาและวันวางจำหน่าย

    https://www.tomshardware.com/pc-components/ssds/second-gen-phison-ssd-controllers-hit-the-market-with-14-9-gb-s-speeds-teamgroup-z54e-spearheads-a-new-army-of-pcie-5-0-drives
    🚀 “Phison E28 เปิดศึก SSD เจน 2 — TeamGroup Z54E นำทัพ PCIe 5.0 ความเร็วทะลุ 14.9 GB/s” TeamGroup เปิดตัว T-Force Z54E SSD รุ่นใหม่ล่าสุดที่ใช้คอนโทรลเลอร์ Phison E28 ซึ่งเป็นเจเนอเรชันที่สองของ PCIe 5.0 SSD โดยมีความเร็วในการอ่านข้อมูลสูงสุดถึง 14,900 MB/s และเขียนได้ถึง 14,000 MB/s ในรุ่น 2TB และ 4TB ส่วนรุ่น 1TB เขียนได้ 13,700 MB/s Phison E28 ผลิตด้วยเทคโนโลยี 6nm จาก TSMC และเป็นคำตอบของ Phison ต่อคู่แข่งอย่าง Silicon Motion SM2508 โดยมุ่งเน้นทั้งประสิทธิภาพและการใช้พลังงานที่ดีขึ้น แม้ TeamGroup จะไม่เปิดเผยชนิดของ NAND ที่ใช้ แต่คาดว่าเป็น 3D TLC NAND แบบ 232 ชั้น จาก Micron หรือ YMTC โดย SSD รุ่นนี้ยังมาพร้อม DRAM cache (ขนาดไม่ระบุ) และฮีตซิงก์กราฟีนแบบบางพิเศษเพื่อช่วยระบายความร้อน โดยไม่ใช้พัดลม ด้านความทนทาน (endurance) ก็ถือว่าอยู่ในระดับดี โดยรุ่น 1TB รองรับการเขียนข้อมูลได้ 600 TBW และเพิ่มขึ้นเป็น 1,200 TBW และ 2,400 TBW สำหรับรุ่น 2TB และ 4TB ตามลำดับ แม้ยังไม่เปิดเผยราคาและวันวางจำหน่ายอย่างเป็นทางการ แต่ TeamGroup ระบุว่าจะมีข้อมูลเพิ่มเติมเร็ว ๆ นี้ ✅ TeamGroup เปิดตัว SSD รุ่น T-Force Z54E ➡️ ใช้คอนโทรลเลอร์ Phison E28 รุ่นใหม่ล่าสุด ✅ ความเร็วสูงสุด: อ่าน 14,900 MB/s, เขียน 14,000 MB/s ➡️ รุ่น 1TB เขียนได้ 13,700 MB/s ✅ ใช้เทคโนโลยี 6nm จาก TSMC ➡️ เพิ่มประสิทธิภาพและลดการใช้พลังงาน ✅ คาดว่าใช้ NAND แบบ 3D TLC 232 ชั้น ➡️ อาจมาจาก Micron หรือ YMTC ✅ มี DRAM cache (ขนาดไม่ระบุ) ➡️ ช่วยเพิ่มประสิทธิภาพการเข้าถึงข้อมูล ✅ ฮีตซิงก์กราฟีนแบบบางพิเศษ ➡️ ไม่มีพัดลม แต่รองรับการระบายความร้อนสูงสุด 7W ✅ ความทนทาน: 600–2,400 TBW ตามความจุ ➡️ เทียบเท่าคู่แข่งอย่าง WD Black SN8100 และ Samsung 9100 Pro ✅ รับประกัน 5 ปี ➡️ ยังไม่เปิดเผยราคาและวันวางจำหน่าย https://www.tomshardware.com/pc-components/ssds/second-gen-phison-ssd-controllers-hit-the-market-with-14-9-gb-s-speeds-teamgroup-z54e-spearheads-a-new-army-of-pcie-5-0-drives
    WWW.TOMSHARDWARE.COM
    Second-gen Phison SSD controllers hit the market with 14.9 GB/s speeds — TeamGroup Z54E spearheads a new army of PCIe 5.0 drives
    The T-Force Z54E brings lightning-fast PCIe 5.0 storage to consumers via the Phison E28 SSD controller.
    0 ความคิดเห็น 0 การแบ่งปัน 117 มุมมอง 0 รีวิว
  • “Intel Foundry คว้าดีลผลิตชิป AI Maia 2 ให้ Microsoft บนเทคโนโลยี 18A” — ก้าวสำคัญสู่ความร่วมมือระยะยาวในยุค AI

    Intel Foundry ได้รับสัญญาผลิตชิป AI รุ่นใหม่ของ Microsoft ในตระกูล Maia 2 โดยใช้เทคโนโลยีการผลิตระดับ 18A และ 18A-P ซึ่งถือเป็นหนึ่งในกระบวนการผลิตที่ล้ำหน้าที่สุดของ Intel ในปัจจุบัน โดยรายงานจาก SemiAccurate ระบุว่า Microsoft จะใช้ Intel Foundry เป็นฐานการผลิตหลักสำหรับชิป AI รุ่นถัดไป ซึ่งอาจเป็นจุดเริ่มต้นของความร่วมมือระยะยาวระหว่างสองยักษ์ใหญ่

    Maia 2 เป็นชิป AI ขนาดใหญ่ระดับใกล้ reticle size (ประมาณ 820 mm²) ที่ออกแบบมาเพื่อใช้งานในศูนย์ข้อมูล Azure โดยมีเป้าหมายเพื่อเพิ่มประสิทธิภาพและลดต้นทุนการเป็นเจ้าของ (TCO) เมื่อเทียบกับการใช้ GPU จาก Nvidia ซึ่ง Microsoft ยังใช้อยู่เป็นหลักในปัจจุบัน

    การเลือก Intel Foundry แทน TSMC มีความหมายเชิงยุทธศาสตร์ เพราะช่วยลดความเสี่ยงจากข้อจำกัดด้านกำลังการผลิตและการบรรจุชิปขั้นสูงที่ TSMC เผชิญอยู่ อีกทั้งยังสอดคล้องกับนโยบายของรัฐบาลสหรัฐฯ ที่สนับสนุนการผลิตชิปภายในประเทศ

    Intel คาดว่าเทคโนโลยี 18A จะมี yield สูงพอสำหรับการผลิตชิปขนาดใหญ่แบบนี้ โดยอาจใช้เทคนิค partitioning เป็น chiplet หลายตัวเชื่อมด้วย EMIB หรือ Foveros แต่ Microsoft น่าจะเลือกใช้ดีไซน์แบบ monolithic เพื่อประสิทธิภาพสูงสุด

    Intel Foundry ได้รับสัญญาผลิตชิป AI Maia 2 ให้ Microsoft
    ใช้เทคโนโลยีการผลิตระดับ 18A และ 18A-P

    Maia 2 เป็นชิปขนาดใหญ่ระดับใกล้ reticle size (820 mm²)
    มีทรานซิสเตอร์มากกว่า 105 พันล้านตัว

    Microsoft ใช้ชิปนี้ในศูนย์ข้อมูล Azure เพื่อเพิ่มประสิทธิภาพและลด TCO
    เปรียบเทียบกับ GPU จาก Nvidia ที่ใช้อยู่ในปัจจุบัน

    การเลือก Intel Foundry ช่วยลดความเสี่ยงจากข้อจำกัดของ TSMC
    ทั้งด้านกำลังการผลิตและการบรรจุชิปขั้นสูง

    สอดคล้องกับนโยบายของรัฐบาลสหรัฐฯ ที่สนับสนุนการผลิตในประเทศ
    เพิ่มความมั่นคงของห่วงโซ่อุปทาน

    Intel คาดว่า yield ของ 18A จะสูงพอสำหรับชิปขนาดใหญ่
    อาจใช้ EMIB หรือ Foveros หากต้องแบ่งเป็น chiplet

    https://www.tomshardware.com/tech-industry/semiconductors/intel-foundry-secures-contract-to-build-microsofts-maia-2-next-gen-ai-processor-on-18a-18a-p-node-claims-report-could-be-first-step-in-ongoing-partnership
    🤝 “Intel Foundry คว้าดีลผลิตชิป AI Maia 2 ให้ Microsoft บนเทคโนโลยี 18A” — ก้าวสำคัญสู่ความร่วมมือระยะยาวในยุค AI Intel Foundry ได้รับสัญญาผลิตชิป AI รุ่นใหม่ของ Microsoft ในตระกูล Maia 2 โดยใช้เทคโนโลยีการผลิตระดับ 18A และ 18A-P ซึ่งถือเป็นหนึ่งในกระบวนการผลิตที่ล้ำหน้าที่สุดของ Intel ในปัจจุบัน โดยรายงานจาก SemiAccurate ระบุว่า Microsoft จะใช้ Intel Foundry เป็นฐานการผลิตหลักสำหรับชิป AI รุ่นถัดไป ซึ่งอาจเป็นจุดเริ่มต้นของความร่วมมือระยะยาวระหว่างสองยักษ์ใหญ่ Maia 2 เป็นชิป AI ขนาดใหญ่ระดับใกล้ reticle size (ประมาณ 820 mm²) ที่ออกแบบมาเพื่อใช้งานในศูนย์ข้อมูล Azure โดยมีเป้าหมายเพื่อเพิ่มประสิทธิภาพและลดต้นทุนการเป็นเจ้าของ (TCO) เมื่อเทียบกับการใช้ GPU จาก Nvidia ซึ่ง Microsoft ยังใช้อยู่เป็นหลักในปัจจุบัน การเลือก Intel Foundry แทน TSMC มีความหมายเชิงยุทธศาสตร์ เพราะช่วยลดความเสี่ยงจากข้อจำกัดด้านกำลังการผลิตและการบรรจุชิปขั้นสูงที่ TSMC เผชิญอยู่ อีกทั้งยังสอดคล้องกับนโยบายของรัฐบาลสหรัฐฯ ที่สนับสนุนการผลิตชิปภายในประเทศ Intel คาดว่าเทคโนโลยี 18A จะมี yield สูงพอสำหรับการผลิตชิปขนาดใหญ่แบบนี้ โดยอาจใช้เทคนิค partitioning เป็น chiplet หลายตัวเชื่อมด้วย EMIB หรือ Foveros แต่ Microsoft น่าจะเลือกใช้ดีไซน์แบบ monolithic เพื่อประสิทธิภาพสูงสุด ✅ Intel Foundry ได้รับสัญญาผลิตชิป AI Maia 2 ให้ Microsoft ➡️ ใช้เทคโนโลยีการผลิตระดับ 18A และ 18A-P ✅ Maia 2 เป็นชิปขนาดใหญ่ระดับใกล้ reticle size (820 mm²) ➡️ มีทรานซิสเตอร์มากกว่า 105 พันล้านตัว ✅ Microsoft ใช้ชิปนี้ในศูนย์ข้อมูล Azure เพื่อเพิ่มประสิทธิภาพและลด TCO ➡️ เปรียบเทียบกับ GPU จาก Nvidia ที่ใช้อยู่ในปัจจุบัน ✅ การเลือก Intel Foundry ช่วยลดความเสี่ยงจากข้อจำกัดของ TSMC ➡️ ทั้งด้านกำลังการผลิตและการบรรจุชิปขั้นสูง ✅ สอดคล้องกับนโยบายของรัฐบาลสหรัฐฯ ที่สนับสนุนการผลิตในประเทศ ➡️ เพิ่มความมั่นคงของห่วงโซ่อุปทาน ✅ Intel คาดว่า yield ของ 18A จะสูงพอสำหรับชิปขนาดใหญ่ ➡️ อาจใช้ EMIB หรือ Foveros หากต้องแบ่งเป็น chiplet https://www.tomshardware.com/tech-industry/semiconductors/intel-foundry-secures-contract-to-build-microsofts-maia-2-next-gen-ai-processor-on-18a-18a-p-node-claims-report-could-be-first-step-in-ongoing-partnership
    0 ความคิดเห็น 0 การแบ่งปัน 170 มุมมอง 0 รีวิว
  • “TSMC ทำสถิติรายได้สูงสุดไตรมาสล่าสุด” — แรงหนุนจาก AI และ HPC ดันรายได้ทะลุ $33.1 พันล้าน

    TSMC รายงานผลประกอบการไตรมาสล่าสุดด้วยรายได้สูงถึง $33.1 พันล้าน ซึ่งเป็นสถิติสูงสุดของบริษัท โดยมีแรงขับเคลื่อนหลักจากความต้องการชิปสำหรับ AI และโครงสร้างพื้นฐาน HPC ที่คิดเป็นสองในสามของรายได้ทั้งหมด

    รายได้เพิ่มขึ้น 40.8% เมื่อเทียบกับปีก่อน และ 10.1% เมื่อเทียบกับไตรมาสก่อนหน้า ขณะที่กำไรสุทธิอยู่ที่ $14.77 พันล้าน และอัตรากำไรขั้นต้นสูงถึง 59.5% แม้จะมีต้นทุนจากการขยายโรงงานในญี่ปุ่นและสหรัฐฯ

    TSMC เริ่มรับรู้รายได้จากชิป Apple รุ่นใหม่ที่ผลิตด้วยเทคโนโลยี N3P เช่น A19 และ M5-series ซึ่งใช้ใน iPhone 17 และ Mac รุ่นล่าสุด โดยเทคโนโลยีระดับ 3nm คิดเป็น 23% ของรายได้ wafer ทั้งหมด

    กลุ่ม HPC ยังคงเป็นผู้นำด้านรายได้ที่ 57% ตามด้วยสมาร์ตโฟน 30%, ยานยนต์ 5% และ IoT 5% โดย TSMC คาดว่าความต้องการชิป AI จะยังคงแข็งแกร่งไปจนถึงปี 2025

    ข้อมูลในข่าว
    TSMC รายงานรายได้ไตรมาสล่าสุดที่ $33.1 พันล้าน
    เพิ่มขึ้น 40.8% จากปีก่อน และ 10.1% จากไตรมาสก่อน
    กำไรสุทธิอยู่ที่ $14.77 พันล้าน
    อัตรากำไรขั้นต้นสูงถึง 59.5%
    รายได้หลักมาจากชิป AI และ HPC คิดเป็นสองในสามของรายได้
    เริ่มรับรู้รายได้จากชิป Apple รุ่นใหม่ที่ใช้เทคโนโลยี N3P
    เทคโนโลยีระดับ 3nm คิดเป็น 23% ของรายได้ wafer
    กลุ่ม HPC มีสัดส่วนรายได้ 57%, สมาร์ตโฟน 30%, ยานยนต์ 5%, IoT 5%
    TSMC คาดว่าความต้องการชิป AI จะยังคงแข็งแกร่งไปจนถึงปี 2025
    มีการขยายโรงงานในญี่ปุ่นและสหรัฐฯ ซึ่งส่งผลต่อต้นทุน

    https://www.tomshardware.com/tech-industry/semiconductors/tsmc-posts-record-quarter-results-as-skyrocketing-ai-and-hpc-demand-drives-two-thirds-of-revenue-company-pulls-in-usd33-1-billion
    📈 “TSMC ทำสถิติรายได้สูงสุดไตรมาสล่าสุด” — แรงหนุนจาก AI และ HPC ดันรายได้ทะลุ $33.1 พันล้าน TSMC รายงานผลประกอบการไตรมาสล่าสุดด้วยรายได้สูงถึง $33.1 พันล้าน ซึ่งเป็นสถิติสูงสุดของบริษัท โดยมีแรงขับเคลื่อนหลักจากความต้องการชิปสำหรับ AI และโครงสร้างพื้นฐาน HPC ที่คิดเป็นสองในสามของรายได้ทั้งหมด รายได้เพิ่มขึ้น 40.8% เมื่อเทียบกับปีก่อน และ 10.1% เมื่อเทียบกับไตรมาสก่อนหน้า ขณะที่กำไรสุทธิอยู่ที่ $14.77 พันล้าน และอัตรากำไรขั้นต้นสูงถึง 59.5% แม้จะมีต้นทุนจากการขยายโรงงานในญี่ปุ่นและสหรัฐฯ TSMC เริ่มรับรู้รายได้จากชิป Apple รุ่นใหม่ที่ผลิตด้วยเทคโนโลยี N3P เช่น A19 และ M5-series ซึ่งใช้ใน iPhone 17 และ Mac รุ่นล่าสุด โดยเทคโนโลยีระดับ 3nm คิดเป็น 23% ของรายได้ wafer ทั้งหมด กลุ่ม HPC ยังคงเป็นผู้นำด้านรายได้ที่ 57% ตามด้วยสมาร์ตโฟน 30%, ยานยนต์ 5% และ IoT 5% โดย TSMC คาดว่าความต้องการชิป AI จะยังคงแข็งแกร่งไปจนถึงปี 2025 ✅ ข้อมูลในข่าว ➡️ TSMC รายงานรายได้ไตรมาสล่าสุดที่ $33.1 พันล้าน ➡️ เพิ่มขึ้น 40.8% จากปีก่อน และ 10.1% จากไตรมาสก่อน ➡️ กำไรสุทธิอยู่ที่ $14.77 พันล้าน ➡️ อัตรากำไรขั้นต้นสูงถึง 59.5% ➡️ รายได้หลักมาจากชิป AI และ HPC คิดเป็นสองในสามของรายได้ ➡️ เริ่มรับรู้รายได้จากชิป Apple รุ่นใหม่ที่ใช้เทคโนโลยี N3P ➡️ เทคโนโลยีระดับ 3nm คิดเป็น 23% ของรายได้ wafer ➡️ กลุ่ม HPC มีสัดส่วนรายได้ 57%, สมาร์ตโฟน 30%, ยานยนต์ 5%, IoT 5% ➡️ TSMC คาดว่าความต้องการชิป AI จะยังคงแข็งแกร่งไปจนถึงปี 2025 ➡️ มีการขยายโรงงานในญี่ปุ่นและสหรัฐฯ ซึ่งส่งผลต่อต้นทุน https://www.tomshardware.com/tech-industry/semiconductors/tsmc-posts-record-quarter-results-as-skyrocketing-ai-and-hpc-demand-drives-two-thirds-of-revenue-company-pulls-in-usd33-1-billion
    0 ความคิดเห็น 0 การแบ่งปัน 154 มุมมอง 0 รีวิว
  • “GNOME 49.1 มาแล้ว!” — อัปเดตครั้งใหญ่เพื่อความเสถียร ความเข้าถึง และประสบการณ์ผู้ใช้ที่ดีขึ้น

    GNOME Project ได้ปล่อย GNOME 49.1 ซึ่งเป็นอัปเดตแรกของซีรีส์ GNOME 49 “Brescia” โดยเน้นการแก้ไขบั๊กและปรับปรุงประสบการณ์ใช้งานในหลายส่วนของเดสก์ท็อป รวมถึง Nautilus, Epiphany, GNOME Shell, Mutter, Orca และ GNOME Software

    การเปลี่ยนแปลงสำคัญ ได้แก่:
    ปรับปรุง UI การจับภาพหน้าจอให้เข้าถึงง่ายขึ้น
    รองรับการพิมพ์ภาษาฮินดีแบบ Bolnagri บนคีย์บอร์ดจอสัมผัส
    ปรับปรุงไอคอนการเข้าถึงบนหน้าจอล็อกอิน
    แก้ไขปัญหาการแจ้งเตือนอัปเดตใน GNOME Software
    แก้ไขบั๊กที่ทำให้เกิด zombie process จาก gnome-session
    แก้ไขปัญหาโฟกัสคีย์บอร์ดใน Activities Overview
    แก้ไขปัญหา GTK popover submenu ที่ทำให้แอปค้าง
    แก้ไขปัญหาหน้าต่าง maximized ล้นใต้ panel
    แก้ไขการสลับ layout คีย์บอร์ดผ่าน xkb-options

    ใน Nautilus (Files):
    แก้ไขการ crash จาก callback ภายใน
    แก้ไขการ paste รูปภาพขนาดใหญ่
    ปรับปรุง contrast ของรายการที่ถูก cut
    แก้ไขการโฟกัสในหน้าต่างเลือกแอปเริ่มต้น
    แก้ไข sidebar drag-and-drop และการทดสอบ archive ที่ใช้เวลานาน

    ใน Epiphany (GNOME Web):
    ปรับปรุง address bar และ dropdown behavior
    แก้ไขการแสดงผลตัวอักษร non-Latin
    เพิ่ม OpenSearch ให้ DuckDuckGo, Bing และ Google
    แก้ไข caret position หลัง Ctrl+K
    แก้ไข favicon ที่มีพื้นหลังดำให้โปร่งใส

    ใน Orca (screen reader):
    เพิ่มการควบคุม caret สำหรับทุก text object
    เพิ่มคำสั่งใหม่ผ่าน D-Bus Remote Controller
    ปรับปรุงการอ่าน voice name และการจัดเรียงใน Preferences
    เพิ่ม OnlyShowIn=GNOME ให้ Orca autostart ได้ในเวอร์ชันเก่า

    GNOME Control Center ก็ได้รับการปรับปรุงหลาย panels เช่น Appearance, Date & Time, Mouse, Network, Users และ Wacom ส่วน GNOME Display Manager (GDM) ได้รับ hotfix สำหรับบั๊กที่ทำให้ GNOME Shell ค้าง และการตรวจสอบ Wayland ที่ผิดพลาด

    ข้อมูลในข่าว
    GNOME 49.1 เป็นอัปเดตแรกของซีรีส์ GNOME 49 “Brescia”
    ปรับปรุง accessibility, multi-touch, และการจัดการคีย์บอร์ด
    แก้ไข zombie process จาก gnome-session
    Nautilus ได้รับการแก้ไขหลายจุด เช่น paste รูปภาพ, contrast, drag-and-drop
    Epiphany ปรับปรุง address bar, dropdown, และรองรับ OpenSearch
    Orca เพิ่ม caret navigation และปรับปรุง voice name presentation
    GNOME Software แก้ไขการแจ้งเตือนอัปเดต
    GNOME Control Center ปรับปรุงหลาย panels
    GDM ได้รับ hotfix สำหรับบั๊กที่ทำให้ GNOME Shell ค้าง

    https://9to5linux.com/gnome-49-1-desktop-released-with-various-improvements-and-bug-fixes
    🖥️ “GNOME 49.1 มาแล้ว!” — อัปเดตครั้งใหญ่เพื่อความเสถียร ความเข้าถึง และประสบการณ์ผู้ใช้ที่ดีขึ้น GNOME Project ได้ปล่อย GNOME 49.1 ซึ่งเป็นอัปเดตแรกของซีรีส์ GNOME 49 “Brescia” โดยเน้นการแก้ไขบั๊กและปรับปรุงประสบการณ์ใช้งานในหลายส่วนของเดสก์ท็อป รวมถึง Nautilus, Epiphany, GNOME Shell, Mutter, Orca และ GNOME Software การเปลี่ยนแปลงสำคัญ ได้แก่: ⭐ ปรับปรุง UI การจับภาพหน้าจอให้เข้าถึงง่ายขึ้น ⭐ รองรับการพิมพ์ภาษาฮินดีแบบ Bolnagri บนคีย์บอร์ดจอสัมผัส ⭐ ปรับปรุงไอคอนการเข้าถึงบนหน้าจอล็อกอิน ⭐ แก้ไขปัญหาการแจ้งเตือนอัปเดตใน GNOME Software ⭐ แก้ไขบั๊กที่ทำให้เกิด zombie process จาก gnome-session ⭐ แก้ไขปัญหาโฟกัสคีย์บอร์ดใน Activities Overview ⭐ แก้ไขปัญหา GTK popover submenu ที่ทำให้แอปค้าง ⭐ แก้ไขปัญหาหน้าต่าง maximized ล้นใต้ panel ⭐ แก้ไขการสลับ layout คีย์บอร์ดผ่าน xkb-options ใน Nautilus (Files): 🗃️ แก้ไขการ crash จาก callback ภายใน 🗃️ แก้ไขการ paste รูปภาพขนาดใหญ่ 🗃️ ปรับปรุง contrast ของรายการที่ถูก cut 🗃️ แก้ไขการโฟกัสในหน้าต่างเลือกแอปเริ่มต้น 🗃️ แก้ไข sidebar drag-and-drop และการทดสอบ archive ที่ใช้เวลานาน ใน Epiphany (GNOME Web): 🌐 ปรับปรุง address bar และ dropdown behavior 🌐 แก้ไขการแสดงผลตัวอักษร non-Latin 🌐 เพิ่ม OpenSearch ให้ DuckDuckGo, Bing และ Google 🌐 แก้ไข caret position หลัง Ctrl+K 🌐 แก้ไข favicon ที่มีพื้นหลังดำให้โปร่งใส ใน Orca (screen reader): 🔊 เพิ่มการควบคุม caret สำหรับทุก text object 🔊 เพิ่มคำสั่งใหม่ผ่าน D-Bus Remote Controller 🔊 ปรับปรุงการอ่าน voice name และการจัดเรียงใน Preferences 🔊 เพิ่ม OnlyShowIn=GNOME ให้ Orca autostart ได้ในเวอร์ชันเก่า GNOME Control Center ก็ได้รับการปรับปรุงหลาย panels เช่น Appearance, Date & Time, Mouse, Network, Users และ Wacom ส่วน GNOME Display Manager (GDM) ได้รับ hotfix สำหรับบั๊กที่ทำให้ GNOME Shell ค้าง และการตรวจสอบ Wayland ที่ผิดพลาด ✅ ข้อมูลในข่าว ➡️ GNOME 49.1 เป็นอัปเดตแรกของซีรีส์ GNOME 49 “Brescia” ➡️ ปรับปรุง accessibility, multi-touch, และการจัดการคีย์บอร์ด ➡️ แก้ไข zombie process จาก gnome-session ➡️ Nautilus ได้รับการแก้ไขหลายจุด เช่น paste รูปภาพ, contrast, drag-and-drop ➡️ Epiphany ปรับปรุง address bar, dropdown, และรองรับ OpenSearch ➡️ Orca เพิ่ม caret navigation และปรับปรุง voice name presentation ➡️ GNOME Software แก้ไขการแจ้งเตือนอัปเดต ➡️ GNOME Control Center ปรับปรุงหลาย panels ➡️ GDM ได้รับ hotfix สำหรับบั๊กที่ทำให้ GNOME Shell ค้าง https://9to5linux.com/gnome-49-1-desktop-released-with-various-improvements-and-bug-fixes
    9TO5LINUX.COM
    GNOME 49.1 Desktop Released with Various Improvements and Bug Fixes - 9to5Linux
    GNOME 49.1 is now available as the first point release to the latest GNOME 49 desktop environment series with various bug fixes.
    0 ความคิดเห็น 0 การแบ่งปัน 158 มุมมอง 0 รีวิว
  • “Silicon Box ส่งมอบชิปครบ 100 ล้านชิ้น” — ยืนยันความพร้อมของเทคโนโลยี Panel-Level Packaging สำหรับยุค AI และ HPC

    Silicon Box บริษัทผู้เชี่ยวชาญด้านการบรรจุภัณฑ์เซมิคอนดักเตอร์แบบขั้นสูง ประกาศความสำเร็จในการผลิตและส่งมอบชิปครบ 100 ล้านชิ้นจากโรงงานหลักในสิงคโปร์ ซึ่งใช้เทคโนโลยี Panel-Level Packaging (PLP) ที่ล้ำสมัยที่สุดในโลก

    PLP เป็นเทคโนโลยีที่ช่วยให้สามารถรวมชิปหลายตัว (chiplets) เข้าด้วยกันบนแผงขนาดใหญ่ (panel) แทนที่จะใช้เวเฟอร์แบบดั้งเดิม ช่วยลดต้นทุน เพิ่มประสิทธิภาพ และรองรับการผลิตในปริมาณมาก เหมาะอย่างยิ่งกับความต้องการของอุตสาหกรรม AI, การประมวลผลสมรรถนะสูง (HPC), ยานยนต์ และหุ่นยนต์

    โรงงานของ Silicon Box ในสิงคโปร์เริ่มผลิตเชิงพาณิชย์ในปลายปี 2023 และสามารถทำลายสถิติเดิมของบริษัทในด้านอัตราผลิตสำเร็จ (yield) ที่เคยอยู่ที่ 99.7% ในระดับเวเฟอร์ โดยตอนนี้สามารถรักษาระดับ yield ที่สูงมากแม้ในระดับ panel ซึ่งใหญ่และซับซ้อนกว่า

    บริษัทกำลังขยายกำลังการผลิตอย่างต่อเนื่อง โดยมีแผนสร้างโรงงานแห่งที่สองในเมืองโนวารา ประเทศอิตาลี ซึ่งจะเริ่มผลิตในปี 2028 และมีขนาดใหญ่กว่าสิงคโปร์ พร้อมระบบทดสอบภายในประเทศยุโรป

    Silicon Box ยังได้รับการรับรองมาตรฐาน ISO 9001, 14001 และ 45001 ซึ่งครอบคลุมคุณภาพ ความยั่งยืน และความปลอดภัยของพนักงาน

    ข้อมูลในข่าว
    Silicon Box ส่งมอบชิปครบ 100 ล้านชิ้นจากโรงงานในสิงคโปร์
    ใช้เทคโนโลยี Panel-Level Packaging (PLP) สำหรับการรวม chiplets
    PLP ช่วยลดต้นทุน เพิ่มประสิทธิภาพ และรองรับการผลิตจำนวนมาก
    โรงงานในสิงคโปร์เริ่มผลิตเชิงพาณิชย์ปลายปี 2023
    อัตราผลิตสำเร็จ (yield) สูงกว่า 99.7% แม้ในระดับ panel
    ได้รับการรับรอง ISO 9001, 14001 และ 45001
    แผนสร้างโรงงานแห่งที่สองในอิตาลี เริ่มผลิตปี 2028
    โรงงานใหม่จะมีระบบทดสอบภายในยุโรป และรองรับอุตสาหกรรม AI, HPC, ยานยนต์, หุ่นยนต์
    เป็นบริษัทอิสระรายเดียวที่สามารถผลิต chiplet ที่ระดับ panel ได้ในปริมาณมาก

    https://www.techpowerup.com/341914/silicon-box-ships-100m-units-proves-advanced-panel-level-packaging-ready-for-ai-hpc-era
    📦 “Silicon Box ส่งมอบชิปครบ 100 ล้านชิ้น” — ยืนยันความพร้อมของเทคโนโลยี Panel-Level Packaging สำหรับยุค AI และ HPC Silicon Box บริษัทผู้เชี่ยวชาญด้านการบรรจุภัณฑ์เซมิคอนดักเตอร์แบบขั้นสูง ประกาศความสำเร็จในการผลิตและส่งมอบชิปครบ 100 ล้านชิ้นจากโรงงานหลักในสิงคโปร์ ซึ่งใช้เทคโนโลยี Panel-Level Packaging (PLP) ที่ล้ำสมัยที่สุดในโลก PLP เป็นเทคโนโลยีที่ช่วยให้สามารถรวมชิปหลายตัว (chiplets) เข้าด้วยกันบนแผงขนาดใหญ่ (panel) แทนที่จะใช้เวเฟอร์แบบดั้งเดิม ช่วยลดต้นทุน เพิ่มประสิทธิภาพ และรองรับการผลิตในปริมาณมาก เหมาะอย่างยิ่งกับความต้องการของอุตสาหกรรม AI, การประมวลผลสมรรถนะสูง (HPC), ยานยนต์ และหุ่นยนต์ โรงงานของ Silicon Box ในสิงคโปร์เริ่มผลิตเชิงพาณิชย์ในปลายปี 2023 และสามารถทำลายสถิติเดิมของบริษัทในด้านอัตราผลิตสำเร็จ (yield) ที่เคยอยู่ที่ 99.7% ในระดับเวเฟอร์ โดยตอนนี้สามารถรักษาระดับ yield ที่สูงมากแม้ในระดับ panel ซึ่งใหญ่และซับซ้อนกว่า บริษัทกำลังขยายกำลังการผลิตอย่างต่อเนื่อง โดยมีแผนสร้างโรงงานแห่งที่สองในเมืองโนวารา ประเทศอิตาลี ซึ่งจะเริ่มผลิตในปี 2028 และมีขนาดใหญ่กว่าสิงคโปร์ พร้อมระบบทดสอบภายในประเทศยุโรป Silicon Box ยังได้รับการรับรองมาตรฐาน ISO 9001, 14001 และ 45001 ซึ่งครอบคลุมคุณภาพ ความยั่งยืน และความปลอดภัยของพนักงาน ✅ ข้อมูลในข่าว ➡️ Silicon Box ส่งมอบชิปครบ 100 ล้านชิ้นจากโรงงานในสิงคโปร์ ➡️ ใช้เทคโนโลยี Panel-Level Packaging (PLP) สำหรับการรวม chiplets ➡️ PLP ช่วยลดต้นทุน เพิ่มประสิทธิภาพ และรองรับการผลิตจำนวนมาก ➡️ โรงงานในสิงคโปร์เริ่มผลิตเชิงพาณิชย์ปลายปี 2023 ➡️ อัตราผลิตสำเร็จ (yield) สูงกว่า 99.7% แม้ในระดับ panel ➡️ ได้รับการรับรอง ISO 9001, 14001 และ 45001 ➡️ แผนสร้างโรงงานแห่งที่สองในอิตาลี เริ่มผลิตปี 2028 ➡️ โรงงานใหม่จะมีระบบทดสอบภายในยุโรป และรองรับอุตสาหกรรม AI, HPC, ยานยนต์, หุ่นยนต์ ➡️ เป็นบริษัทอิสระรายเดียวที่สามารถผลิต chiplet ที่ระดับ panel ได้ในปริมาณมาก https://www.techpowerup.com/341914/silicon-box-ships-100m-units-proves-advanced-panel-level-packaging-ready-for-ai-hpc-era
    WWW.TECHPOWERUP.COM
    Silicon Box Ships 100M Units, Proves Advanced Panel-Level Packaging Ready for AI, HPC era
    Silicon Box, a global leader in chiplet integration and advanced semiconductor packaging, announced it has shipped 100-million-units from its flagship factory in Singapore's Tampines Wafer Park. The state-of-the-art facility, which began mass production in late 2023, produces advanced panel-level pa...
    0 ความคิดเห็น 0 การแบ่งปัน 163 มุมมอง 0 รีวิว
  • “Apple M5 vs M4” — ก้าวกระโดดด้าน AI และกราฟิกที่เปลี่ยนเกมของ Apple Silicon

    หลังจากเปิดตัวชิป M4 ในเดือนพฤษภาคม 2024 Apple ก็เดินหน้าต่อด้วย M5 ซึ่งเป็นชิปที่พัฒนาขึ้นด้วยเทคโนโลยี 3nm รุ่นที่สาม โดยเน้นการเพิ่มประสิทธิภาพด้าน AI และกราฟิกอย่างชัดเจน

    M5 มาพร้อม GPU แบบ 10 คอร์ที่มี Neural Accelerator ฝังอยู่ในแต่ละคอร์ ทำให้สามารถประมวลผล AI ได้เร็วกว่า M4 ถึง 4 เท่า และให้ประสิทธิภาพกราฟิกดีขึ้นถึง 45% นอกจากนี้ CPU ของ M5 ยังมี 10 คอร์ (4 คอร์ประสิทธิภาพ + 6 คอร์ประหยัดพลังงาน) ซึ่งเพิ่มความเร็วแบบ multithreaded ขึ้นอีก 15% เมื่อเทียบกับ M4

    Neural Engine แบบ 16 คอร์ใน M5 ยังช่วยเพิ่มแบนด์วิดธ์ของ unified memory ขึ้นถึง 30% ซึ่งส่งผลให้การทำงานร่วมกับโมเดล AI และการเรนเดอร์ภาพมีความลื่นไหลและแม่นยำมากขึ้น

    ในขณะที่ M4 เป็นชิปแรกที่ใช้เทคโนโลยี 3nm รุ่นที่สอง และเปิดตัวพร้อม iPad Pro โดยเน้นการเรนเดอร์กราฟิกด้วย ray tracing และ mesh shading M5 ได้รับการเปิดตัวพร้อมกับ Vision Pro และ MacBook Pro รุ่นใหม่ ซึ่งถือเป็นการขยายการใช้งานของ Apple Silicon ไปยังอุปกรณ์ระดับสูงที่ต้องการพลังประมวลผลแบบเต็มรูปแบบ

    ข้อมูลในข่าว
    M5 ใช้เทคโนโลยี 3nm รุ่นที่สาม พร้อม GPU แบบ 10 คอร์
    มี Neural Accelerator ในทุกคอร์ของ GPU เพื่อเพิ่มประสิทธิภาพ AI
    ประสิทธิภาพ AI สูงกว่า M4 ถึง 4 เท่า และกราฟิกดีขึ้น 45%
    CPU แบบ 10 คอร์ให้ multithreaded performance สูงขึ้น 15%
    Neural Engine แบบ 16 คอร์ช่วยเพิ่ม unified memory bandwidth ขึ้น 30%
    M4 ใช้เทคโนโลยี 3nm รุ่นที่สอง และเปิดตัวพร้อม iPad Pro
    M4 รองรับ ray tracing, mesh shading และ Dynamic Caching
    M5 เปิดตัวพร้อม Vision Pro และ MacBook Pro รุ่นใหม่
    M5 เหมาะกับงาน AI และกราฟิกที่ต้องการพลังประมวลผลสูง

    https://www.slashgear.com/1997651/apple-m5-vs-m4-what-difference-performance-capabilities/
    🍏 “Apple M5 vs M4” — ก้าวกระโดดด้าน AI และกราฟิกที่เปลี่ยนเกมของ Apple Silicon หลังจากเปิดตัวชิป M4 ในเดือนพฤษภาคม 2024 Apple ก็เดินหน้าต่อด้วย M5 ซึ่งเป็นชิปที่พัฒนาขึ้นด้วยเทคโนโลยี 3nm รุ่นที่สาม โดยเน้นการเพิ่มประสิทธิภาพด้าน AI และกราฟิกอย่างชัดเจน M5 มาพร้อม GPU แบบ 10 คอร์ที่มี Neural Accelerator ฝังอยู่ในแต่ละคอร์ ทำให้สามารถประมวลผล AI ได้เร็วกว่า M4 ถึง 4 เท่า และให้ประสิทธิภาพกราฟิกดีขึ้นถึง 45% นอกจากนี้ CPU ของ M5 ยังมี 10 คอร์ (4 คอร์ประสิทธิภาพ + 6 คอร์ประหยัดพลังงาน) ซึ่งเพิ่มความเร็วแบบ multithreaded ขึ้นอีก 15% เมื่อเทียบกับ M4 Neural Engine แบบ 16 คอร์ใน M5 ยังช่วยเพิ่มแบนด์วิดธ์ของ unified memory ขึ้นถึง 30% ซึ่งส่งผลให้การทำงานร่วมกับโมเดล AI และการเรนเดอร์ภาพมีความลื่นไหลและแม่นยำมากขึ้น ในขณะที่ M4 เป็นชิปแรกที่ใช้เทคโนโลยี 3nm รุ่นที่สอง และเปิดตัวพร้อม iPad Pro โดยเน้นการเรนเดอร์กราฟิกด้วย ray tracing และ mesh shading M5 ได้รับการเปิดตัวพร้อมกับ Vision Pro และ MacBook Pro รุ่นใหม่ ซึ่งถือเป็นการขยายการใช้งานของ Apple Silicon ไปยังอุปกรณ์ระดับสูงที่ต้องการพลังประมวลผลแบบเต็มรูปแบบ ✅ ข้อมูลในข่าว ➡️ M5 ใช้เทคโนโลยี 3nm รุ่นที่สาม พร้อม GPU แบบ 10 คอร์ ➡️ มี Neural Accelerator ในทุกคอร์ของ GPU เพื่อเพิ่มประสิทธิภาพ AI ➡️ ประสิทธิภาพ AI สูงกว่า M4 ถึง 4 เท่า และกราฟิกดีขึ้น 45% ➡️ CPU แบบ 10 คอร์ให้ multithreaded performance สูงขึ้น 15% ➡️ Neural Engine แบบ 16 คอร์ช่วยเพิ่ม unified memory bandwidth ขึ้น 30% ➡️ M4 ใช้เทคโนโลยี 3nm รุ่นที่สอง และเปิดตัวพร้อม iPad Pro ➡️ M4 รองรับ ray tracing, mesh shading และ Dynamic Caching ➡️ M5 เปิดตัวพร้อม Vision Pro และ MacBook Pro รุ่นใหม่ ➡️ M5 เหมาะกับงาน AI และกราฟิกที่ต้องการพลังประมวลผลสูง https://www.slashgear.com/1997651/apple-m5-vs-m4-what-difference-performance-capabilities/
    WWW.SLASHGEAR.COM
    Apple M5 Vs. M4: What's The Difference In Performance & Capability? - SlashGear
    Apple’s M5 outpaces the M4 with up to 45% better graphics, faster AI processing, and higher memory bandwidth, making it a big leap in performance.
    0 ความคิดเห็น 0 การแบ่งปัน 125 มุมมอง 0 รีวิว
  • “Apple เปิดตัวชิป M5” — ก้าวกระโดดครั้งใหญ่ด้าน AI ด้วย GPU แบบใหม่และ Neural Accelerator ในทุกคอร์

    Apple ประกาศเปิดตัวชิป M5 ซึ่งเป็นระบบบนชิป (SoC) รุ่นใหม่ที่ออกแบบมาเพื่อยกระดับการประมวลผลด้าน AI โดยเฉพาะ โดยนำมาใช้ใน MacBook Pro ขนาด 14 นิ้ว, iPad Pro และ Apple Vision Pro รุ่นล่าสุด

    M5 ผลิตด้วยเทคโนโลยี 3nm รุ่นที่สาม และมาพร้อม GPU แบบ 10 คอร์ที่มี Neural Accelerator ฝังอยู่ในแต่ละคอร์ ทำให้สามารถประมวลผล AI ได้เร็วขึ้นกว่า M4 ถึง 4 เท่า และเร็วกว่า M1 ถึง 6 เท่า นอกจากนี้ยังมีการปรับปรุงด้านกราฟิกด้วย ray tracing รุ่นที่สาม และ dynamic caching รุ่นใหม่ที่ช่วยให้การเล่นเกมและการเรนเดอร์ภาพ 3D ลื่นไหลและสมจริงมากขึ้น

    CPU ของ M5 มี 10 คอร์ (แบ่งเป็น 6 คอร์ประหยัดพลังงาน และ 4 คอร์ประสิทธิภาพสูง) ซึ่งให้ความเร็วในการประมวลผลแบบ multithreaded สูงกว่า M4 ถึง 15% พร้อม Neural Engine แบบ 16 คอร์ที่เร็วขึ้น และแบนด์วิดธ์ของ unified memory เพิ่มขึ้นเกือบ 30% เป็น 153GB/s

    ชิป M5 ยังช่วยให้ Apple Vision Pro แสดงผลได้ละเอียดขึ้น 10% และเพิ่ม refresh rate สูงสุดถึง 120Hz ทำให้ภาพคมชัดและลดอาการเบลอจากการเคลื่อนไหว

    Apple ยังเน้นว่า M5 จะช่วยให้การใช้งาน AI บนอุปกรณ์เป็นไปอย่างมีประสิทธิภาพมากขึ้น เช่น การสร้างภาพใน Image Playground, การใช้ Foundation Models framework และการประมวลผลโมเดลขนาดใหญ่แบบ on-device โดยไม่ต้องพึ่งคลาวด์

    ข้อมูลในข่าว
    Apple เปิดตัวชิป M5 สำหรับ MacBook Pro, iPad Pro และ Vision Pro
    ผลิตด้วยเทคโนโลยี 3nm รุ่นที่สาม
    GPU แบบ 10 คอร์มี Neural Accelerator ในทุกคอร์
    ประสิทธิภาพ AI สูงกว่า M4 ถึง 4 เท่า และ M1 ถึง 6 เท่า
    รองรับ ray tracing รุ่นที่สามและ dynamic caching รุ่นใหม่
    CPU แบบ 10 คอร์ให้ความเร็ว multithreaded สูงกว่า M4 ถึง 15%
    Neural Engine แบบ 16 คอร์ที่เร็วขึ้นและประหยัดพลังงาน
    แบนด์วิดธ์ unified memory เพิ่มขึ้นเป็น 153GB/s
    Vision Pro แสดงผลละเอียดขึ้น 10% และ refresh rate สูงสุด 120Hz
    รองรับการใช้งาน AI แบบ on-device เช่น Image Playground และ Foundation Models

    https://www.apple.com/newsroom/2025/10/apple-unleashes-m5-the-next-big-leap-in-ai-performance-for-apple-silicon/
    🍎 “Apple เปิดตัวชิป M5” — ก้าวกระโดดครั้งใหญ่ด้าน AI ด้วย GPU แบบใหม่และ Neural Accelerator ในทุกคอร์ Apple ประกาศเปิดตัวชิป M5 ซึ่งเป็นระบบบนชิป (SoC) รุ่นใหม่ที่ออกแบบมาเพื่อยกระดับการประมวลผลด้าน AI โดยเฉพาะ โดยนำมาใช้ใน MacBook Pro ขนาด 14 นิ้ว, iPad Pro และ Apple Vision Pro รุ่นล่าสุด M5 ผลิตด้วยเทคโนโลยี 3nm รุ่นที่สาม และมาพร้อม GPU แบบ 10 คอร์ที่มี Neural Accelerator ฝังอยู่ในแต่ละคอร์ ทำให้สามารถประมวลผล AI ได้เร็วขึ้นกว่า M4 ถึง 4 เท่า และเร็วกว่า M1 ถึง 6 เท่า นอกจากนี้ยังมีการปรับปรุงด้านกราฟิกด้วย ray tracing รุ่นที่สาม และ dynamic caching รุ่นใหม่ที่ช่วยให้การเล่นเกมและการเรนเดอร์ภาพ 3D ลื่นไหลและสมจริงมากขึ้น CPU ของ M5 มี 10 คอร์ (แบ่งเป็น 6 คอร์ประหยัดพลังงาน และ 4 คอร์ประสิทธิภาพสูง) ซึ่งให้ความเร็วในการประมวลผลแบบ multithreaded สูงกว่า M4 ถึง 15% พร้อม Neural Engine แบบ 16 คอร์ที่เร็วขึ้น และแบนด์วิดธ์ของ unified memory เพิ่มขึ้นเกือบ 30% เป็น 153GB/s ชิป M5 ยังช่วยให้ Apple Vision Pro แสดงผลได้ละเอียดขึ้น 10% และเพิ่ม refresh rate สูงสุดถึง 120Hz ทำให้ภาพคมชัดและลดอาการเบลอจากการเคลื่อนไหว Apple ยังเน้นว่า M5 จะช่วยให้การใช้งาน AI บนอุปกรณ์เป็นไปอย่างมีประสิทธิภาพมากขึ้น เช่น การสร้างภาพใน Image Playground, การใช้ Foundation Models framework และการประมวลผลโมเดลขนาดใหญ่แบบ on-device โดยไม่ต้องพึ่งคลาวด์ ✅ ข้อมูลในข่าว ➡️ Apple เปิดตัวชิป M5 สำหรับ MacBook Pro, iPad Pro และ Vision Pro ➡️ ผลิตด้วยเทคโนโลยี 3nm รุ่นที่สาม ➡️ GPU แบบ 10 คอร์มี Neural Accelerator ในทุกคอร์ ➡️ ประสิทธิภาพ AI สูงกว่า M4 ถึง 4 เท่า และ M1 ถึง 6 เท่า ➡️ รองรับ ray tracing รุ่นที่สามและ dynamic caching รุ่นใหม่ ➡️ CPU แบบ 10 คอร์ให้ความเร็ว multithreaded สูงกว่า M4 ถึง 15% ➡️ Neural Engine แบบ 16 คอร์ที่เร็วขึ้นและประหยัดพลังงาน ➡️ แบนด์วิดธ์ unified memory เพิ่มขึ้นเป็น 153GB/s ➡️ Vision Pro แสดงผลละเอียดขึ้น 10% และ refresh rate สูงสุด 120Hz ➡️ รองรับการใช้งาน AI แบบ on-device เช่น Image Playground และ Foundation Models https://www.apple.com/newsroom/2025/10/apple-unleashes-m5-the-next-big-leap-in-ai-performance-for-apple-silicon/
    WWW.APPLE.COM
    Apple unleashes M5, the next big leap in AI performance for Apple silicon
    Apple today announced M5, delivering advances to every aspect of the chip and the next big leap in AI.
    0 ความคิดเห็น 0 การแบ่งปัน 127 มุมมอง 0 รีวิว
  • “NVIDIA จับมือ Samsung Foundry” — เปิดทางสู่ยุคใหม่ของชิป AI ด้วย NVLink Fusion

    ในงาน OCP Global Summit ล่าสุด NVIDIA ได้ประกาศความร่วมมือกับ Samsung Foundry เพื่อเข้าร่วมในระบบ NVLink Fusion ซึ่งเป็นโครงสร้างพื้นฐานด้านการเชื่อมต่อความเร็วสูงสำหรับศูนย์ข้อมูล AI โดย Samsung จะมีบทบาททั้งด้านการออกแบบและผลิตชิปแบบ custom CPU และ XPU ที่สามารถเชื่อมต่อโดยตรงกับสถาปัตยกรรม MGX และ OCP rack ของ NVIDIA

    NVLink Fusion เป็นเฟรมเวิร์กที่เปิดให้โปรเซสเซอร์จากผู้ผลิตอื่นสามารถเชื่อมต่อกับระบบของ NVIDIA ได้อย่างเต็มรูปแบบ โดยใช้เทคโนโลยี chiplet และ IP ที่รองรับการสื่อสารผ่าน NVLink-C2C ที่มีแบนด์วิดธ์สูงถึง 900 GB/s ซึ่งช่วยให้การเชื่อมต่อระหว่าง CPU และ GPU มีประสิทธิภาพมากขึ้น

    การนำ Samsung เข้ามาในระบบนี้ช่วยลดความเสี่ยงจากการพึ่งพาผู้ผลิตรายเดียว และเปิดทางให้บริษัทต่าง ๆ สามารถพัฒนาโปรเซสเซอร์ที่เหมาะกับงานเฉพาะ เช่นโมเดลภาษาใหญ่ (LLM) และ AI เชิงตัวแทน (agentic AI) ได้รวดเร็วขึ้น

    อย่างไรก็ตาม NVIDIA ยังคงควบคุมซอฟต์แวร์และฮาร์ดแวร์สำคัญที่ใช้ในการจัดการการเชื่อมต่อ เช่น communication controller และ PHY layer รวมถึงการใช้ NVLink Switch chips ที่ต้องได้รับใบอนุญาตจาก NVIDIA ซึ่งหมายความว่าบริษัทอื่นไม่สามารถสร้างระบบที่เป็นอิสระจาก NVIDIA ได้อย่างแท้จริง

    ข้อมูลในข่าว
    NVIDIA ร่วมมือกับ Samsung Foundry ในระบบ NVLink Fusion
    Samsung จะออกแบบและผลิตชิป custom CPU และ XPU สำหรับศูนย์ข้อมูล AI
    NVLink Fusion เป็นเฟรมเวิร์กที่เปิดให้โปรเซสเซอร์จากผู้ผลิตอื่นเชื่อมต่อกับระบบ NVIDIA ได้
    ใช้ NVLink-C2C ที่มีแบนด์วิดธ์สูงถึง 900 GB/s เพื่อเชื่อมต่อ CPU-GPU
    ช่วยลดความเสี่ยงจากการพึ่งพาผู้ผลิตรายเดียว และเร่งการพัฒนาโปรเซสเซอร์เฉพาะทาง
    Samsung เสริมทั้งกำลังการผลิตและบริการออกแบบในระบบ NVLink

    คำเตือนจากข้อมูลข่าว
    ชิปที่พัฒนาในระบบนี้ต้องเชื่อมต่อกับผลิตภัณฑ์ของ NVIDIA เท่านั้น
    NVIDIA ยังคงควบคุมซอฟต์แวร์และฮาร์ดแวร์สำคัญในการจัดการการเชื่อมต่อ
    บริษัทอื่นไม่สามารถสร้างระบบที่เป็นอิสระจาก NVIDIA ได้อย่างแท้จริง
    การใช้ NVLink Switch chips ต้องได้รับใบอนุญาตจาก NVIDIA

    https://www.techpowerup.com/341889/nvidia-taps-samsung-foundry-for-custom-silicon-manufacturing
    🔗 “NVIDIA จับมือ Samsung Foundry” — เปิดทางสู่ยุคใหม่ของชิป AI ด้วย NVLink Fusion ในงาน OCP Global Summit ล่าสุด NVIDIA ได้ประกาศความร่วมมือกับ Samsung Foundry เพื่อเข้าร่วมในระบบ NVLink Fusion ซึ่งเป็นโครงสร้างพื้นฐานด้านการเชื่อมต่อความเร็วสูงสำหรับศูนย์ข้อมูล AI โดย Samsung จะมีบทบาททั้งด้านการออกแบบและผลิตชิปแบบ custom CPU และ XPU ที่สามารถเชื่อมต่อโดยตรงกับสถาปัตยกรรม MGX และ OCP rack ของ NVIDIA NVLink Fusion เป็นเฟรมเวิร์กที่เปิดให้โปรเซสเซอร์จากผู้ผลิตอื่นสามารถเชื่อมต่อกับระบบของ NVIDIA ได้อย่างเต็มรูปแบบ โดยใช้เทคโนโลยี chiplet และ IP ที่รองรับการสื่อสารผ่าน NVLink-C2C ที่มีแบนด์วิดธ์สูงถึง 900 GB/s ซึ่งช่วยให้การเชื่อมต่อระหว่าง CPU และ GPU มีประสิทธิภาพมากขึ้น การนำ Samsung เข้ามาในระบบนี้ช่วยลดความเสี่ยงจากการพึ่งพาผู้ผลิตรายเดียว และเปิดทางให้บริษัทต่าง ๆ สามารถพัฒนาโปรเซสเซอร์ที่เหมาะกับงานเฉพาะ เช่นโมเดลภาษาใหญ่ (LLM) และ AI เชิงตัวแทน (agentic AI) ได้รวดเร็วขึ้น อย่างไรก็ตาม NVIDIA ยังคงควบคุมซอฟต์แวร์และฮาร์ดแวร์สำคัญที่ใช้ในการจัดการการเชื่อมต่อ เช่น communication controller และ PHY layer รวมถึงการใช้ NVLink Switch chips ที่ต้องได้รับใบอนุญาตจาก NVIDIA ซึ่งหมายความว่าบริษัทอื่นไม่สามารถสร้างระบบที่เป็นอิสระจาก NVIDIA ได้อย่างแท้จริง ✅ ข้อมูลในข่าว ➡️ NVIDIA ร่วมมือกับ Samsung Foundry ในระบบ NVLink Fusion ➡️ Samsung จะออกแบบและผลิตชิป custom CPU และ XPU สำหรับศูนย์ข้อมูล AI ➡️ NVLink Fusion เป็นเฟรมเวิร์กที่เปิดให้โปรเซสเซอร์จากผู้ผลิตอื่นเชื่อมต่อกับระบบ NVIDIA ได้ ➡️ ใช้ NVLink-C2C ที่มีแบนด์วิดธ์สูงถึง 900 GB/s เพื่อเชื่อมต่อ CPU-GPU ➡️ ช่วยลดความเสี่ยงจากการพึ่งพาผู้ผลิตรายเดียว และเร่งการพัฒนาโปรเซสเซอร์เฉพาะทาง ➡️ Samsung เสริมทั้งกำลังการผลิตและบริการออกแบบในระบบ NVLink ‼️ คำเตือนจากข้อมูลข่าว ⛔ ชิปที่พัฒนาในระบบนี้ต้องเชื่อมต่อกับผลิตภัณฑ์ของ NVIDIA เท่านั้น ⛔ NVIDIA ยังคงควบคุมซอฟต์แวร์และฮาร์ดแวร์สำคัญในการจัดการการเชื่อมต่อ ⛔ บริษัทอื่นไม่สามารถสร้างระบบที่เป็นอิสระจาก NVIDIA ได้อย่างแท้จริง ⛔ การใช้ NVLink Switch chips ต้องได้รับใบอนุญาตจาก NVIDIA https://www.techpowerup.com/341889/nvidia-taps-samsung-foundry-for-custom-silicon-manufacturing
    WWW.TECHPOWERUP.COM
    NVIDIA Taps Samsung Foundry for Custom Silicon Manufacturing
    NVIDIA announced at the OCP Global Summit that Samsung Foundry is joining its NVLink Fusion ecosystem, bringing Samsung's design and manufacturing muscle into the fold for custom CPUs and XPUs. The partnership positions Samsung to offer end-to-end support. Everything from silicon design and verifica...
    0 ความคิดเห็น 0 การแบ่งปัน 140 มุมมอง 0 รีวิว
  • “ทรานซิสเตอร์ — สิ่งประดิษฐ์ที่ถูกผลิตมากที่สุดในประวัติศาสตร์มนุษยชาติ”

    เมื่อพูดถึงสิ่งประดิษฐ์ที่มนุษย์ผลิตมากที่สุด หลายคนอาจนึกถึงล้อเกวียน, ตะปู, หรือแม้แต่ถุงเท้า แต่ความจริงแล้ว สิ่งที่ถูกผลิตมากที่สุดในโลกคือ “ทรานซิสเตอร์” — อุปกรณ์ขนาดเล็กที่เป็นหัวใจของอิเล็กทรอนิกส์ยุคใหม่

    ทรานซิสเตอร์ตัวแรกถูกสร้างขึ้นในปี 1947 โดย Bell Labs และมีขนาดใหญ่พอจะวางบนโต๊ะได้ แต่ปัจจุบันทรานซิสเตอร์มีขนาดเล็กกว่าเศษฝุ่น และถูกผลิตไปแล้วมากกว่า 13 เซ็กทิลเลียนตัว (13 ตามด้วยศูนย์อีก 21 ตัว) ระหว่างปี 1947 ถึง 2018 ซึ่งจำนวนนี้ยังคงเพิ่มขึ้นอย่างรวดเร็วทุกปี

    ทรานซิสเตอร์ทำหน้าที่เป็นสวิตช์สองสถานะ — เปิดหรือปิด — ซึ่งเป็นพื้นฐานของระบบเลขฐานสองที่ใช้ในคอมพิวเตอร์และอุปกรณ์ดิจิทัลทั้งหมด โดยทรานซิสเตอร์สมัยใหม่เป็นแบบ MOS (Metal-Oxide Semiconductor) ที่ใช้ซิลิคอนเป็นวัสดุหลัก และมีขนาดเล็กระดับนาโนเมตร เช่น 3–5 nm หรือแม้แต่ 1 nm ในบางกรณี

    ในคอมพิวเตอร์หนึ่งเครื่องอาจมีทรานซิสเตอร์หลายพันล้านตัว เช่น CPU รุ่นใหม่ของ Intel มีมากถึง 40 พันล้านตัว ขณะที่ชิปในปี 1971 มีเพียง 2,300 ตัวเท่านั้น ซึ่งแสดงให้เห็นถึงการพัฒนาอย่างก้าวกระโดดของเทคโนโลยีการผลิต

    แม้ขนาดของทรานซิสเตอร์จะใกล้เคียงกับอะตอมของซิลิคอน (0.2 nm) ซึ่งเป็นขีดจำกัดทางฟิสิกส์ แต่ยังมีความหวังในการใช้วัสดุใหม่ เช่น ทรานซิสเตอร์แบบ 2D หรือวัสดุเหนือธรรมดาอื่น ๆ เพื่อผลักดันขีดจำกัดนี้ให้ไกลออกไป

    อย่างไรก็ตาม หากโลกเปลี่ยนไปใช้ควอนตัมคอมพิวติ้งอย่างเต็มรูปแบบ ทรานซิสเตอร์อาจถูกแทนที่ด้วย “คิวบิต” ซึ่งเป็นหน่วยข้อมูลที่สามารถอยู่ในหลายสถานะพร้อมกันได้ — และนั่นอาจเป็นจุดสิ้นสุดของยุคทรานซิสเตอร์ที่ครองโลกมายาวนานกว่า 75 ปี

    ทรานซิสเตอร์เป็นสิ่งประดิษฐ์ที่ถูกผลิตมากที่สุดในโลก
    มากกว่า 13 เซ็กทิลเลียนตัวระหว่างปี 1947–2018

    ทรานซิสเตอร์ตัวแรกถูกสร้างโดย Bell Labs ในปี 1947
    เป็นแบบ point-contact transistor ขนาดใหญ่

    ทรานซิสเตอร์สมัยใหม่เป็นแบบ MOS ที่ใช้ซิลิคอน
    มีขนาดเล็กระดับ 3–5 nm หรือแม้แต่ 1 nm

    CPU รุ่นใหม่มีทรานซิสเตอร์มากถึง 40 พันล้านตัว
    เทียบกับชิป Intel ปี 1971 ที่มีเพียง 2,300 ตัว

    ทรานซิสเตอร์ทำหน้าที่เป็นสวิตช์สองสถานะ
    เป็นพื้นฐานของระบบเลขฐานสองในคอมพิวเตอร์

    มีความพยายามพัฒนา 2D transistors และวัสดุใหม่
    เพื่อผลักดันขีดจำกัดของขนาดและประสิทธิภาพ

    คอมพิวเตอร์และอุปกรณ์อิเล็กทรอนิกส์ทุกชนิดใช้ทรานซิสเตอร์
    เช่น CPU, RAM, GPU, SSD

    https://www.slashgear.com/1992406/about-most-produced-invention-in-the-world-transistors/
    🔌 “ทรานซิสเตอร์ — สิ่งประดิษฐ์ที่ถูกผลิตมากที่สุดในประวัติศาสตร์มนุษยชาติ” เมื่อพูดถึงสิ่งประดิษฐ์ที่มนุษย์ผลิตมากที่สุด หลายคนอาจนึกถึงล้อเกวียน, ตะปู, หรือแม้แต่ถุงเท้า แต่ความจริงแล้ว สิ่งที่ถูกผลิตมากที่สุดในโลกคือ “ทรานซิสเตอร์” — อุปกรณ์ขนาดเล็กที่เป็นหัวใจของอิเล็กทรอนิกส์ยุคใหม่ ทรานซิสเตอร์ตัวแรกถูกสร้างขึ้นในปี 1947 โดย Bell Labs และมีขนาดใหญ่พอจะวางบนโต๊ะได้ แต่ปัจจุบันทรานซิสเตอร์มีขนาดเล็กกว่าเศษฝุ่น และถูกผลิตไปแล้วมากกว่า 13 เซ็กทิลเลียนตัว (13 ตามด้วยศูนย์อีก 21 ตัว) ระหว่างปี 1947 ถึง 2018 ซึ่งจำนวนนี้ยังคงเพิ่มขึ้นอย่างรวดเร็วทุกปี ทรานซิสเตอร์ทำหน้าที่เป็นสวิตช์สองสถานะ — เปิดหรือปิด — ซึ่งเป็นพื้นฐานของระบบเลขฐานสองที่ใช้ในคอมพิวเตอร์และอุปกรณ์ดิจิทัลทั้งหมด โดยทรานซิสเตอร์สมัยใหม่เป็นแบบ MOS (Metal-Oxide Semiconductor) ที่ใช้ซิลิคอนเป็นวัสดุหลัก และมีขนาดเล็กระดับนาโนเมตร เช่น 3–5 nm หรือแม้แต่ 1 nm ในบางกรณี ในคอมพิวเตอร์หนึ่งเครื่องอาจมีทรานซิสเตอร์หลายพันล้านตัว เช่น CPU รุ่นใหม่ของ Intel มีมากถึง 40 พันล้านตัว ขณะที่ชิปในปี 1971 มีเพียง 2,300 ตัวเท่านั้น ซึ่งแสดงให้เห็นถึงการพัฒนาอย่างก้าวกระโดดของเทคโนโลยีการผลิต แม้ขนาดของทรานซิสเตอร์จะใกล้เคียงกับอะตอมของซิลิคอน (0.2 nm) ซึ่งเป็นขีดจำกัดทางฟิสิกส์ แต่ยังมีความหวังในการใช้วัสดุใหม่ เช่น ทรานซิสเตอร์แบบ 2D หรือวัสดุเหนือธรรมดาอื่น ๆ เพื่อผลักดันขีดจำกัดนี้ให้ไกลออกไป อย่างไรก็ตาม หากโลกเปลี่ยนไปใช้ควอนตัมคอมพิวติ้งอย่างเต็มรูปแบบ ทรานซิสเตอร์อาจถูกแทนที่ด้วย “คิวบิต” ซึ่งเป็นหน่วยข้อมูลที่สามารถอยู่ในหลายสถานะพร้อมกันได้ — และนั่นอาจเป็นจุดสิ้นสุดของยุคทรานซิสเตอร์ที่ครองโลกมายาวนานกว่า 75 ปี ✅ ทรานซิสเตอร์เป็นสิ่งประดิษฐ์ที่ถูกผลิตมากที่สุดในโลก ➡️ มากกว่า 13 เซ็กทิลเลียนตัวระหว่างปี 1947–2018 ✅ ทรานซิสเตอร์ตัวแรกถูกสร้างโดย Bell Labs ในปี 1947 ➡️ เป็นแบบ point-contact transistor ขนาดใหญ่ ✅ ทรานซิสเตอร์สมัยใหม่เป็นแบบ MOS ที่ใช้ซิลิคอน ➡️ มีขนาดเล็กระดับ 3–5 nm หรือแม้แต่ 1 nm ✅ CPU รุ่นใหม่มีทรานซิสเตอร์มากถึง 40 พันล้านตัว ➡️ เทียบกับชิป Intel ปี 1971 ที่มีเพียง 2,300 ตัว ✅ ทรานซิสเตอร์ทำหน้าที่เป็นสวิตช์สองสถานะ ➡️ เป็นพื้นฐานของระบบเลขฐานสองในคอมพิวเตอร์ ✅ มีความพยายามพัฒนา 2D transistors และวัสดุใหม่ ➡️ เพื่อผลักดันขีดจำกัดของขนาดและประสิทธิภาพ ✅ คอมพิวเตอร์และอุปกรณ์อิเล็กทรอนิกส์ทุกชนิดใช้ทรานซิสเตอร์ ➡️ เช่น CPU, RAM, GPU, SSD https://www.slashgear.com/1992406/about-most-produced-invention-in-the-world-transistors/
    WWW.SLASHGEAR.COM
    The Most Mass-Produced Invention In The World Isn't What You Think - SlashGear
    The humble transistor - smaller than a speck of dust — has been made more than any other invention in history, powering nearly all modern electronics.
    0 ความคิดเห็น 0 การแบ่งปัน 184 มุมมอง 0 รีวิว
Pages Boosts